CMP设计分享 http://blog.sciencenet.cn/u/accsys 没有逆向思维就没有科技原创。 不自信是科技创新的大敌。

博文

简单问题却是机器计算的真道理

已有 3815 次阅读 2010-6-24 17:12 |个人分类:教学点滴|系统分类:科研笔记| 计算机设计, 限位数

姜咏江
 
我于2004年提出的“限位数”理论,以“补码制理论的理解”一文发表在《计算机工程与应用》2004年第40卷上。经过这几年在计算机设计实践中应用限位数,使我更加坚定了对机器计算的认识,同时也体会到了数值理论研究的重要意义。
 
用限位数解决计算机记数、运算的设计不仅道理明确,而且方法简单。对于限位数理论和方法,我也曾经疑惑是不是“重新发明了轮子”,因为这个问题的理论深度并不算太难,在“这样重要的问题外国人为什么没研究”的置疑之下,我一度也底气不足。6年过去了,没有人能够反驳我的限位数,在国内外的文献中,没发现有我所提出的这种严格的机器记数与数值运算的理论有人提出过。
 
我用限位数理论构造的计算机运算器,简单明了,实际设计方法很容易掌握。然而,计算机业界的人士,宁肯仍然用西方那种“七拼八凑”的机器数制理论,费力气地进行设计运算器(也可能就没设计),也不肯用限位数理论解放自己。我们有时太迷信西方了,不要忘记我们也会有自己的强项。
 
可以用限位数理论解释计算机设计中的许多问题,可以简化计算机的设计,节约成本,提高效率。限位数是有限向无限推进的一个范例,通过一套严格的理论,使人们可以用固定位数的数码表示法,通过“保值”扩充位数的方法,进而达到精确计算的目的。
 
限位数是一个简单的问题,然而却能准确地揭示任意进制之下的机器计算的原理和方法,会推动我们的计算机核心设计,值得快速推广。
 
如果量子计算机是用4进制进行运算的,我相信其运算器的设计一定离不开我的限位数。
 
2010-6-24
 


https://wap.sciencenet.cn/blog-340399-338407.html

上一篇:理解有符号数和无符号数
下一篇:用机器如何进行正确计算
收藏 IP: .*| 热度|

1 陈绥阳

发表评论 评论 (3 个评论)

数据加载中...
扫一扫,分享此博文

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-5-18 02:50

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部