科学网

 找回密码
  注册
Hanning窗生成公式sin(pi*(1/2:N-1/2)/N).^2
王兆华 2012-5-4 21:42
在许多文献和Matlab中的hann(N)窗的生成公式为 hann(N)=sin(pi*(0:N-1)/(N-1)).^2 (1) 这样的生成公式产生的窗是对称窗。它的生成公式中分毋为N-1,对N阶fft不是最好,虽在泄漏等性质上区别不大,但在用於校正时误差大。 在许多文献和Matlab中的 ...
个人分类: apfft|15745 次阅读|没有评论
全相位比值校正法
王兆华 2012-5-4 20:23
加hann窗全相位 比值校正法和 加hann窗fft 比值校正法校正方法类同,只须将二个振幅比改为振幅开方比即可 。这里加hann窗是关键, 过去测试时,直接调用 Matlab中的hann(N)窗,频率和振幅校正效果差,见表5加hann窗全相位 比值校正法测试结果 。 表4为加n-hann窗全相 ...
个人分类: apfft|7707 次阅读|没有评论
4阶移位循环卷积窗fft
王兆华 2011-7-14 19:56
4阶移位循环卷积窗fft
卷积有两种 : 线性卷积和循环卷积 。 卷积窗也有两种: 线性卷积窗和循环卷积窗。卷积定理也有两种: 线性 卷积定理和 循环卷积定理 。 加 卷积窗可使 插值公式平方, 加p 阶卷积窗可使插值公式p次方, 泄漏成倍减小 。 DFT 是离散 Fourier 変换 , ...
个人分类: apfft|9028 次阅读|没有评论

本页有 1 篇博文因作者的隐私设置或未通过审核而隐藏

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-4-28 11:33

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部