陈立新 张琳 黄颖:中美欧日韩五局专利报告3583.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第二部分 2022年美国发明专利统计分析报告
18 世界主要机构在美国的专利布局
18.44 应用材料公司的美国局专利状况
2022年,应用材料公司获得美国发明专利696项,比上一年增长了4%,是获得美国专利数量第44多的机构。
相对来讲,应用材料公司专利研发的优势领域是:半导体制造、材料化学与纳米、电气元件与电路、半导体元器件、金属成型加工。其在这5个技术领域上的专利份额相对较高,为4.3%至0.5%。
表18.44-1 2022年应用材料公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 半导体制造 | 396 | 4.3% |
2 | 材料化学与纳米 | 232 | 2.4% |
3 | 电气元件与电路 | 224 | 0.9% |
4 | 半导体元器件 | 98 | 0.5% |
5 | 金属成型加工 | 54 | 0.5% |
6 | 半导体集成电路 | 47 | 0.5% |
7 | 光学与摄影 | 62 | 0.4% |
8 | 材料测试 | 21 | 0.2% |
9 | 分离与混合加工 | 25 | 0.2% |
10 | 包装与储运 | 16 | 0.2% |
11 | 物理测量 | 23 | 0.2% |
12 | 非金属成型加工 | 17 | 0.2% |
13 | 光电测量与核物理 | 18 | 0.1% |
14 | 制冷制热与照明 | 13 | 0.1% |
15 | 物理信号与控制 | 20 | 0.1% |
16 | 一般机械与武器 | 14 | 0.1% |
17 | 电池 | 5 | 0.1% |
18 | 有机化学 | 9 | 0.1% |
19 | 化工 | 7 | 0.1% |
20 | 图像处理 | 10 | 0.1% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
从绝对数量上来看,应用材料公司的重点技术领域是:半导体制造、材料化学与纳米、电气元件与电路、半导体元器件、光学与摄影。其在这5个技术领域上的专利数量最多,为396至62项。
可见,应用材料公司的专利技术研发重点主要集中在半导体制造领域。
从发明人来看,2022年应用材料公司的研发人员较多,达到1400人,人均发明专利2.09项。其中,Meyer Timmerman Thijssen Rutger、Mallick Abhijit Basu、Jindal Vibhu、Gandikota Srinivas、Verhaverbeke Steven、Lubomirsky Dmitry、Ramaswamy Kartik、Godet Ludovic、Chen Han-Wen、Saly Mark等人的专利数量较多,高达22至15项。
图18.44-1 2022年应用材料公司在20个相对优势领域中的专利占比
致谢
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员对本报告的支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表18.44-1 2022年应用材料公司的美国局授权发明专利
Patent No. | Title | Inventors |
11214890 | Cleaning components and methods in a plating system | Hanson Kyle M. |
11215934 | In-situ light detection methods and apparatus for ultraviolet semiconductor substrate processing | Antonio Ralph Peter; Sheng Shuran; Zhang Lin; Werner Joseph C. |
11217427 | System, apparatus and method for bunched ribbon ion beam | Renau Anthony |
11217433 | Rotary union with mechanical seal assembly | Mitchell Robert J.; Colom Guillermo |
11217443 | Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates | Vats Vinayak Veer; Yu Hang; Kraus Philip Allan; Kamath Sanjay G.; Durand William John; Kalutarage Lakmal Charidu; Mallick Abhijit B.; Li Changling; Padhi Deenesh; Saly Mark Joseph; Chua Thai Cheng; Balseanu Mihaela A. |
11217448 | Methods for reducing transfer pattern defects in a semiconductor device | Freed Regina; Sherman Steven R.; Alexis Nadine; Zhou Lin |
11217462 | Bolted wafer chuck thermal management systems and methods for wafer processing systems | Benjaminson David; Lubomirsky Dmitry; Math Ananda Seelavanth; Natarajan Saravanakumar; Chourey Shubham |
11217536 | Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process | Park Jungrae; Lei Wei-Sheng; Eaton Brad; Papanu James S.; Kumar Ajay |
11220746 | Half-angle nozzle | Shono Eric Kihara |
11220747 | Complementary pattern station designs | AuBuchon Joseph; Baluja Sanjeev; Rice Michael; Dan Arkaprava; Chen Hanhong |
11221182 | Apparatus with multistaged cooling | Gajendra Manoj A.; Subramanian Arul Vasanth |
11222769 | Monopole antenna array source with gas supply or grid filter for semiconductor process equipment | Liang Qiwei; Nemani Srinivas D. |
11222771 | Chemical control features in wafer process equipment | Liang Qiwei; Sharma Rohit; Qiao Jingyu |
11222809 | Patterned vacuum chuck for double-sided processing | Yudovsky Joseph; Sivaramakrishnan Visweswaren; Godet Ludovic; Meyer Timmerman Thijssen Rutger |
11222816 | Methods and apparatus for semi-dynamic bottom up reflow | Zhong Lanlan; Pethe Shirish A.; Zhang Fuhong; Lee Joung Joo; Kalathiparambil Kishor; Xie Xiangjin; Tang Xianmin |
11225027 | Melt pool monitoring in multi-laser systems | Raghavan Kamala Chakravarthy; Franklin Jeffrey L. |
11226234 | Spectrum shaping devices and techniques for optical characterization applications | Zhao Guoheng; Budiarto Edward W.; Egan Todd J. |
11226439 | System and method for forming surface relief gratings | Olson Joseph C.; Godet Ludovic; Biloiu Costel |
11226440 | Mask orientation | Xu Yongan; Meyer Timmerman Thijssen Rutger; Guo Jinrui; Godet Ludovic |
11226441 | Methods of producing slanted gratings with variable etch depths | Evans Morgan; Meyer Timmerman Thijssen Rutger; Olson Joseph C. |
11226556 | Patterning of multi-depth optical devices | Godet Ludovic; Chen Chien-An; Cohen Brian Alexander; McMillan Wayne; McMackin Ian Matthew |
11227193 | Automated image measurement for process development and optimization | Kumar Abhinav; Schwarz Benjamin; Hardy Charles |
11227746 | Isolated backside helium delivery system | Bonecutter Luke; Kangude Abhijit |
11227751 | Plasma chamber target for reducing defects in workpiece during dielectric sputtering | Wang Xiaodong; Lei Jianxin; Wang Rongjun |
11227797 | Film deposition using enhanced diffusion process | Jiang Shishi; Leschkies Kurtis; Manna Pramit; Mallick Abhijit |
11230761 | Deposition system with a multi-cathode | Jindal Vibhu; Bhat Sanjay |
11230763 | Gas separation control in spatial atomic layer deposition | Li Ning; Marcus Steven D.; Ngo Tai T.; Griffin Kevin |
11230793 | Mechanically-driven oscillating flow agitation | McHugh Paul R.; Wilson Gregory J. |
11232561 | Capture and storage of magnified images | Prabhudesai Parijat P.; Raghunathan Ganesh Kumar Mohanur; Sista Aditya; Jha Sumit Kumar; Chandan Narasimha Murthy |
11232925 | System and method for improved beam current from an ion source | Chang Shengwu; Sinclair Frank; St. Peter Michael |
11232930 | Method and device for a carrier proximity mask | Evans Morgan; Carlson Charles T.; Meyer Timmerman Thijssen Rutger; Bandy Ross; Magee Ryan |
11232933 | Temperature and bias control of edge ring | Rogers James; Cui Linying; Dhindsa Rajinder |
11232951 | Method and apparatus for laser drilling blind vias | Lei Wei-Sheng; Leschkies Kurtis; Gouk Roman; Verhaverbeke Steven; Sivaramakrishnan Visweswaren |
11232955 | Methods of etching metal oxides with less etch residue | Mullick Amrita B.; Mallick Abhijit Basu; Gandikota Srinivas; Roy Susmit Singha; Rao Yingli; Freed Regina; Mitra Uday |
11232965 | Transport system | Newman Jacob; Oldendorf Ulrich; Aenis Martin; Constant Andrew J.; Assaf Shay; Hudgens Jeffrey C.; Berger Alexander; Weaver William Tyler |
11236415 | Deposition system with shield mount | Jindal Vibhu; Bhat Sanjay |
11236418 | Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing | Cheng Rui; Mallick Abhijit Basu; Manna Pramit |
11236424 | Process kit for improving edge film thickness uniformity on a substrate | Mustafa Muhannad; Rasheed Muhammad M |
11236834 | Diaphragm valves and methods of operating same | Le Kenneth; Mohammed Balarabe; Zokaei Sohrab; Xu Ming |
11237473 | Physical vapor deposition system and processes | Jindal Vibhu; Xiao Wen; Bhat Sanjay |
11237485 | System, software application, and method for lithography stitching | Xu Yongan; Bencher Christopher Dennis; Visser Robert Jan; Godet Ludovic |
11239040 | Thermally isolated repeller and electrodes | McLaughlin Adam M.; Chaney Craig R.; Tye Jordan B. |
11239058 | Protective layers for processing chamber components | Balaraman Karthikeyan; Ramasamy Balamurugan; Shah Kartik; Larsson Mats; Papke Kevin A.; Patibandla Rajasekhar; Bindiganavale Sathyanarayana; Kelkar Umesh M. |
11239061 | Methods and systems to enhance process uniformity | Singh Saravjeet; Tso Alan; Zhang Jingchun; Li Zihui; Zhang Hanshen; Lubomirsky Dmitry |
11239086 | Back end memory integration process | Tseng Hsin-wei; Pakala Mahendra; Xue Lin; Ahn Jaesoo; Amin Hassan Sajjad |
11239091 | Etching of metal oxides using fluorine and metal halides | Woods Keenan N.; Cui Zhenjiang; Saly Mark |
11239213 | In-situ curing of color conversion layer in recess | Zhang Daihua; Luo Yingdong; Zhu Mingwei; Ng Hou T.; Ganapathiappan Sivapackia; Patibandla Nag B. |
11239258 | High-k dielectric materials comprising zirconium oxide utilized in display devices | Rui Xiangxin; Zhao Lai; Chen Jrjyan Jerry; Choi Soo Young; Zhai Yujia |
11241718 | Cleaning components and methods in a plating system | Jonathan Joseph Antony; Hanson Kyle M.; Rye Jason A.; Brown James E.; Wilson Gregory J.; Bergman Eric J.; Youngbull Tricia A.; Stolt Timothy G. |
11241769 | Methods and apparatus for profile and surface preparation of retaining rings utilized in chemical mechanical polishing processes | Ishikawa David Masayuki; Oh Jeonghoon; Sin Garrett Ho Yee; Garretson Charles C.; Zhang Huanbo; Pai Chia-Ling; Prasad Niraj; Muzquiz Julio David |
11241839 | Integrating 3D printing into multi-process fabrication schemes | McClintock William H.; Bajaj Rajeev; Fung Jason G.; Redfield Daniel |
11242599 | Particle coating methods and apparatus | Gangakhedkar Kaushal; Frankel Jonathan; Neikirk Colin C.; Narwankar Pravin K. |
11242600 | High temperature face plate for deposition application | Bansal Amit Kumar; Rathi Saket; Nguyen Tuan Anh |
11243480 | System for making accurate grating patterns using multiple writing columns each making multiple scans | Markle David; Jeong Hwan J. |
11244808 | Monopole antenna array source for semiconductor process equipment | Liang Qiwei; Nemani Srinivas D. |
11244811 | Plasma reactor with highly symmetrical four-fold gas injection | Rozenzon Yan; Tantiwong Kyle; Yousif Imad; Knyazik Vladimir; Keating Bojenna; Banna Samer |
11244824 | Conformal doped amorphous silicon as nucleation layer for metal deposition | Cheng Rui; Chen Yihong; Wu Yong; Mallick Abhijit Basu; Gandikota Srinivas |
11244844 | High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods | Reuter Paul B.; Narasimhan Murali; Athayde Amulya L.; Pannese Patrick; Hruzek Dean C.; Merry Nir |
11244846 | Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing | Hudgens Jeffrey C.; Rice Michael R.; Muthukamatchi Karuppasamy; Merry Nir |
11245022 | Integrated dipole flow for transistor | Lin Yongjing; Bernal Ramos Karla M.; Li Luping; Chen Shih Chung; Wrench Jacqueline S.; Yang Yixiong; Hung Steven C. H.; Gandikota Srinivas; Yoshida Naomi; Dong Lin |
11245069 | Methods for forming structures with desired crystallinity for MRAM applications | Xue Lin; Ahn Jaesoo; Pakala Mahendra; Ching Chi Hong; Wang Rongjun |
11247298 | Method of forming a plurality of gratings | Evans Morgan; Olson Joseph C.; Meyer Timmerman Thijssen Rutger |
11249067 | Nanopore flow cells and methods of fabrication | Johnson Joseph R.; Quon Roger |
11249386 | Extreme ultraviolet mask with backside coating | Jindal Vibhu; Chandrachood Madhavi R; Banthia Vikash |
11249388 | Extreme ultraviolet mask absorber materials | Liu Shuwei; Jindal Vibhu |
11249389 | Extreme ultraviolet mask absorber materials | Liu Shuwei; Jindal Vibhu |
11249390 | Extreme ultraviolet mask absorber materials | Liu Shuwei; Jindal Vibhu; Chong Halbert |
11251010 | Shaped repeller for an indirectly heated cathode ion source | Perel Alexander S.; Johnson Jay S.; Madunts Suren; McLaughlin Adam M.; Wright Graham |
11251023 | Multi-layer plasma resistant coating by atomic layer deposition | Wu Xiaowei; Fenwick David; Sun Jennifer Y.; Zhan Guodong |
11251024 | Coating for chamber particle reduction | Tseng Hsin-wei; Madsen Casey Jane; Chen Yikai; Wysok Irena; Chong Halbert |
11251028 | Pre-clean chamber with integrated shutter garage | Tsai Cheng-Hsiung Matt; Jupudi Ananthkrishna; Babu Sarath; Koppa Manjunatha P.; Takahama Hiroyuki |
11251047 | Clog detection in a multi-port fluid delivery system | Nangoy Roy C.; Pollard Chad; D'Ambra Allen L. |
11251067 | Pedestal lift for semiconductor processing chambers | West Brian T.; Gelo Miroslav; Rozenzon Yan; Johnson Roger M.; Covington Mark; Jembulingam Soundarrajan; Binns Simon Nicholas; Vinit Vivek |
11251226 | Systems and methods for transfer of micro-devices | Zhu Mingwei; Ganapathiappan Sivapackia; Fu Boyi; Ng Hou T.; Patibandla Nag B. |
11251364 | Magnetic tunnel junctions suitable for high temperature thermal processing | Xue Lin; Ching Chi Hong; Ahn Jaesoo; Pakala Mahendra; Wang Rongjun |
11255785 | Identifying fiducial markers in fluorescence microscope images | Chang Yun-Ching |
11257677 | Methods and devices for subtractive self-alignment | Ren He; Jiang Hao; Naik Mehul; Hou Wenting; Lei Jianxin; Gong Chen; Cao Yong |
11257693 | Methods and systems to improve pedestal temperature control | Nguyen Son; Lubomirsky Dmitry; Kim Chungman; Floyd Kirby H. |
11257698 | Selective etch rate monitor | Kraus Philip Allan; Franklin Timothy Joseph |
11257790 | High connectivity device stacking | Leschkies Kurtis; Chen Han-Wen; Verhaverbeke Steven; Park Giback; Cho Kyuil; Franklin Jeffrey L.; Lei Wei-Sheng |
11258045 | Methods of forming stretchable encapsulation for electronic displays | Cho Kyuil; Kwak Byung Sung; Visser Robert Jan |
11260432 | In-situ DC plasma for cleaning pedestal heater | Ulavi Tejas; Dan Arkaprava; Baluja Sanjeev; Tang Wei V. |
11260498 | Method of polishing a new or a refurbished electrostatic chuck | Lu William Ming-ye; Boyd, Jr. Wendell Glen; Meyer Stacy |
11260500 | Retaining ring with shaped surface | Chen Hung Chih; Zuniga Steven M.; Garretson Charles C.; McAllister Douglas R.; Lin Jian; Meyer Stacy; Huey Sidney P.; Oh Jeonghoon; Doan Trung T.; Schmidt Jeffrey P.; Wohlert Martin S.; Hughes Kerry F.; Wang James C.; Lu Danny Cam Toan; De Lamenie Romain Beau; Balagani Venkata R.; Allen Aden Martin; Fong Michael Jon |
11261525 | Injector for batch processing and methods of use | Yudovsky Joseph; Griffin Kevin; Sriram Mandyam |
11261533 | Aluminum plating at low temperature with high efficiency | Groechel David W.; Peng Gang; Mikkola Robert |
11261538 | In-situ temperature mapping for epi chamber | Moradian Ala; Zhu Zuoming; Liu Patricia M.; Lau Shu-Kwan; Chang Flora Fong-Song; Choo Enle; Ye Zhiyuan |
11262250 | Method for measuring a temperature | Weber Heiko |
11262662 | Post exposure processing apparatus | Babayan Viachslav; Godet Ludovic; Hanson Kyle M.; Moore Robert B. |
11264205 | Techniques for determining and correcting for expected dose variation during implantation of photoresist-coated substrates | Wilson Eric Donald; Gammel George |
11264213 | Chemical control features in wafer process equipment | Liang Qiwei; Chen Xinglong; Chuc Kien; Lubomirsky Dmitry; Park Soonam; Yang Jang-Gyoo; Venkataraman Shankar; Tran Toan; Hinckley Kimberly; Garg Saurabh |
11264252 | Chamber lid with integrated heater | Willwerth Michael D.; Ludwig Jeffrey; Schwarz Benjamin; Cotlear Roberto Cesar |
11264258 | Buffer chamber wafer heating mechanism and supporting robots | Weaver William T.; Schaller Jason M.; Vopat Robert Brent; Blahnik David; Riordon Benjamin B.; Pergande Paul E. |
11264261 | High temperature electrostatic chuck bonding adhesive | Sun Jennifer Y.; Thach Senh; Duan Ren-Guan |
11264263 | Conveyor inspection system, substrate rotator, and test system having the same | Schlezinger Asaf; Stopper Markus J. |
11264331 | Package structure and fabrication methods | Chen Han-Wen; Verhaverbeke Steven; Park Giback; Cellere Giorgio; Tonini Diego; Dicaprio Vincent; Cho Kyuil |
11264333 | Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration | Chen Han-Wen; Verhaverbeke Steven; See Guan Huei; Park Giback; Cellere Giorgio; Tonini Diego; Dicaprio Vincent; Cho Kyuil |
11264460 | Vertical transistor fabrication for memory applications | Kumar Arvind; Manhas Sanjeev; Pakala Mahendra; Yieh Ellie Y. |
11265971 | Sensor system for multi-zone electrostatic chuck | Parkhe Vijay D. |
11268208 | Electroplating system | McHugh Paul R; Wilson Gregory J; Hanson Kyle M; Klocke John L; Valkenburg Paul Van; Bergman Eric J; McClure Adam Marc; Kalaikadal Deepak Saagar; Zimmerman Nolan Layne; Windham Michael; Borjesson Mikael R |
11270864 | Apparatus and system including extraction optics having movable blockers | Biloiu Costel; Calkins Adam; Kontos Alexander C.; Howarth James J. |
11270870 | Processing equipment component plating | Duan Ren-Guan; Rocha-Alvarez Juan Carlos; Afzal Bushra |
11270898 | Apparatus for enhancing flow uniformity in a process chamber | Ramalingam Jothilingam; Savandaiah Kirankumar Neelasandra; Zhang Fuhong; Johanson William |
11270903 | Multi zone electrostatic chuck | Mutyala Madhu Santosh Kumar; Kamath Sanjay; Padhi Deenesh |
11270905 | Modulating film properties by optimizing plasma coupling materials | Venkatasubramanian Eswaranand; Haywood Edward L.; Gottheim Samuel E.; Manna Pramit; Chuc Kien N.; Fischbach Adam; Mallick Abhijit B.; Franklin Timothy J. |
11271097 | Cap oxidation for FinFET formation | Hung Steven C.; Colombeau Benjamin; Dube Abhishek; Kung Sheng-Chin; Liu Patricia M.; Bevan Malcolm J.; Swenberg Johanes |
11274368 | Apparatus for selective gas injection and extraction | Ripley Martin J. |
11274377 | Seal apparatus for an electroplating system | Hanson Kyle M.; Adagoor Manjunatha Vishwanatha; Balaraman Karthikeyan; Vasu Karthick; Chouriya Shailesh |
11275360 | Using graphics processing unit for substrate routing and throughput modeling | Emani Shyam Sunder |
11275975 | Fault detection classification | Cantwell Dermot |
11276559 | Semiconductor processing chamber for multiple precursor flow | Samir Mehmet Tugrul; Yang Dongqing; Lubomirsky Dmitry; Hillman Peter; Park Soonam; Choy Martin Yue; Zhu Lala |
11276562 | Plasma processing using multiple radio frequency power feeds for improved uniformity | Ye Zheng John; Balasubramanian Ganesh; Britcher Thuy; Pinson, II Jay D.; Hanawa Hiroji; Rocha-Alvarez Juan Carlos; Lee Kwangduk Douglas; Seamons Martin Jay; Kim Bok Hoen; Ha Sungwon |
11276569 | On stack overlay improvement for 3D NAND | Lin Yongjing; Gung Tza-Jing; Ogata Masaki; Zhou Yusheng; Han Xinhai; Padhi Deenesh; Rocha Juan Carlos; Bansal Amit Kumar; Srinivasan Mukund |
11276570 | Multi-layer deposition and treatment of silicon nitride films | Vats Vinayak Veer; Ahn Byung Kook; Lee SeoYoung; Yu Hang |
11276573 | Methods of forming high boron-content hard mask materials | Qi Bo; Shen Zeqing; Mallick Abhijit |
11276590 | Multi-zone semiconductor substrate supports | Samir Mehmet Tugrul; Yang Dongqing; Lubomirsky Dmitry; Hillman Peter; Park Soonam; Choy Martin Yue; Zhu Lala |
11276594 | Systems, apparatus, and methods for an improved load port backplane | Reuter Paul B.; Baumgarten Douglas B. |
11276601 | Apparatus and methods for manipulating power at an edge ring in a plasma processing device | Cui Linying; Rogers James |
11276886 | Solid state battery fabrication | Herle Subramanya P.; Gordon, II Joseph G. |
11279032 | Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots | Bergantz Nicholas Michael; Pehlivan Ali Utku |
11279656 | Nanopowders, nanoceramic materials and methods of making and use thereof | Zhan Guodong; Wu Xiaowei; He Xiao Ming; Sun Jennifer Y. |
11279661 | Heat treated ceramic substrate having ceramic coating | Sun Jennifer Y.; Duan Ren-Guan; Kanungo Biraja P.; Lubomirsky Dmitry |
11280686 | Temperature measurement using etalons | Howells Samuel C.; Adams Bruce E. |
11280717 | Methods and apparatus for detection and analysis of nanoparticles from semiconductor chamber parts | Goradia Prerna; Ghosh Avishek; Visser Robert Jan |
11281094 | Method for via formation by micro-imprinting | Gouk Roman; Park Giback; Cho Kyuil; Chen Han-Wen; Buch Chintan; Verhaverbeke Steven; Dicaprio Vincent |
11282676 | Paired dynamic parallel plate capacitively coupled plasmas | Ponnekanti Hari; Tanaka Tsutomu; Sriram Mandyam; Dzilno Dmitry A.; Baluja Sanjeev; Silvetti Mario D. |
11282724 | Substrate processing systems, apparatus, and methods with factory interface environmental controls | Koshti Sushant S.; Hruzek Dean C.; Majumdar Ayan; Menk John C.; Lee Helder T.; Patil Sangram; Rajaram Sanjay; Baumgarten Douglas; Merry Nir |
11282745 | Methods for filling features with ruthenium | Yu Sang-Ho; Ganguli Seshadri |
11282755 | Asymmetry correction via oriented wafer loading | Lau Eric; Garretson Charles C.; Zhang Huanbo; Zhu Zhize |
11282936 | Horizontal gate all around device nanowire air gap spacer formation | Sun Shiyu; Kim Nam Sung; Wood Bingxi Sun; Yoshida Naomi; Kung Sheng-Chin; Jin Miao |
11284018 | Smart camera substrate | Ummethala Upendra; Kraus Philip; Berding Keith; Erickson Blake; Tae Patrick; Holeyannavar Devendra Channappa; Nara Shivaraj Manjunath; Parameshwarappa Anandakumar; Nagarajan Sivasankar; Kumar Dhirendra |
11284500 | Method of controlling ion energy distribution using a pulse generator | Dorf Leonid; Luere Olivier; Dhindsa Rajinder; Rogers James; Srinivasan Sunil; Mishra Anurag Kumar |
11286556 | Selective deposition of titanium films | Yoon Byunghoon; Lei Wei; Yu Sang Ho |
11286564 | Tin-containing precursors and methods of depositing tin-containing films | Knisley Thomas; Saly Mark; Thompson David |
11289310 | Circuits for edge ring control in shaped DC pulsed plasma process device | Cui Linying; Rogers James |
11289312 | Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability | Allen Adolph M.; Faune Vanessa; Hua Zhong Qiang; Savandaiah Kirankumar Neelasandra; Subramani Anantha K.; Kraus Philip A.; Gung Tza-Jing; Zhou Lei; Chong Halbert; Soni Vaibhav; Kalathiparambil Kishor |
11289329 | Methods and apparatus for filling a feature disposed in a substrate | Li Rui; Xie Xiangjin; Zhang Fuhong; Pethe Shirish; Allen Adolph; Zhong Lanlan; Tang Xianmin |
11289331 | Methods for graphene formation using microwave surface-wave plasma on dielectric materials | Zhou Jie; Chen Erica; Liang Qiwei; Ying Chentsau Chris; Nemani Srinivas D.; Yieh Ellie Y. |
11289342 | Damage free metal conductor formation | Ren He; Kim Jong Mun; Clemons Maximillian; Yu Minrui; Naik Mehul; Ying Chentsau |
11289347 | Non-contact clean module | Rangarajan Jagan; Blank Adrian; Golubovsky Edward; Jaganathan Balasubramaniam Coimbatore; Zuniga Steven M.; Mikhaylichenko Ekaterina; Anderson Michael A.; Domin Jonathan P. |
11289352 | In-situ metrology and process control | Krishnamurthy Ramesh; Karuppiah Lakshmanan |
11289357 | Methods and apparatus for high voltage electrostatic chuck protection | Wada Yuichi; Ow Yueh Sheng; Jupudi Ananthkrishna; Goh Clinton; Liew Kai Liang; Babu Sarath |
11289361 | Patterned chuck for double-sided processing | Godet Ludovic; Meyer Timmerman Thijssen Rutger |
11289369 | Low-k dielectric with self-forming barrier layer | Ding Yi; Mukherjee Shaunak; Xie Bo; Yim Kang Sub; Padhi Deenesh |
11289374 | Nucleation-free gap fill ALD process | Chen Yihong; Chan Kelvin; Lu Xinliang; Gandikota Srinivas; Wu Yong; Roy Susmit Singha; Chin Chia Cheng |
11289387 | Methods and apparatus for backside via reveal processing | Lianto Prayudi; Chi Sik Hin; Hung Shih-Chao; Gan Pin Gian; Vinluan Ricardo Fujii; Mehta Gaurav; Chidambaram Ramesh; See Guan Huei; Sundarrajan Arvind; Ummethala Upendra V.; Kew Wei Hao; Abdullah Muhammad Adli Danish Bin; Kutney Michael Charles; Wylie Mark McTaggart; Athayde Amulya Ligorio; Mori Glen T. |
11289579 | P-type dipole for p-FET | Lin Yongjing; Bernal Ramos Karla M; Chen Shih Chung; Yang Yixiong; Dong Lin; Hung Steven C. H.; Gandikota Srinivas |
11292079 | Laser noise elimination in transmission thermometry | Li Jiping; Hunter Aaron Muir; Haw Thomas |
11293099 | Showerhead assembly with multiple fluid delivery zones | Bansal Amit Kumar; Rocha-Alvarez Juan Carlos; Baluja Sanjeev; Kim Sam H.; Nguyen Tuan Anh |
11295786 | 3D dram structure with high mobility channel | Kang Chang Seok; Kitajima Tomohiko; Lee Gill Yong; Natarajan Sanjay; Kang Sung-Kwan; Liu Lequn |
11295938 | Multi-radius magnetron for physical vapor deposition (PVD) and methods of use thereof | Song Jiao; Chan Anthony Chih-Tung; Gunther David; Savandaiah Kirankumar Neelasandra; Wysok Irena H. |
11296296 | Organic light-emtting diode light extraction layer having graded index of refraction | Yu Gang; Chen Chung-Chia; Lin Wan-Yu; Bang Hyunsung; Xu Lisong; Kwak Byung Sung; Visser Robert Jan |
11298794 | Chemical mechanical polishing using time share control | Zhang Jimin; Tang Jianshe; Brown Brian J.; Lu Wei; Diep Priscilla |
11299805 | Plasma corrision resistive heater for high temperature processing | Khaja Abdul Aziz; Duan Ren-Guan; Bansal Amit Kumar; Zhou Jianhua; Rocha-Alvarez Juan Carlos |
11299806 | Gas injection for de-agglomeration in particle coating reactor | Frankel Jonathan; Truong Quoc; Krishnasamy Sekar; Desai Govindraj; Desai Sandip S. |
11300871 | Extreme ultraviolet mask absorber materials | Liu Shiyu; Liu Shuwei; Jindal Vibhu; Zerrade Azeddine |
11300872 | Extreme ultraviolet mask absorber materials | Liu Shuwei; Jindal Vibhu |
11302519 | Method of patterning a low-k dielectric film | Nemani Srinivas D.; Pender Jeremiah T.; Zhou Qingjun; Lubomirsky Dmitry; Belostotskiy Sergey G. |
11302520 | Chamber apparatus for chemical etching of dielectric materials | Tan Tien Fak; Lubomirsky Dmitry; Floyd Kirby H.; Nguyen Son T.; Palagashvili David; Tam Alexander; Chen Shaofeng |
11302536 | Deflectable platens and associated methods | Yin Ming; Sun Dawei |
11302549 | Substrate vacuum transport and storage apparatus | Thirunavukarasu Sriskantharajah; Peh Eng Sheng; Nemani Srinivas D.; Sundarrajan Arvind; Avula Avinash; Yieh Ellie Y. |
11302557 | Electrostatic clamping system and method | Carroll James |
11302699 | Methods of forming self-aligned contacts comprising reusing hardmask materials and lithography reticles | Teo Russell Chin Yee |
11306393 | Methods and apparatus for ALD processes | Kho Jeffrey A.; Kao Chien-Teh; Zhou Jianhua |
11306394 | Iridium precursors for ALD and CVD thin film deposition and uses thereof | Knisley Thomas |
11306824 | Dual port remote plasma clean isolation valve | Riordon Benjamin B.; Carlson Charles T.; Webb Aaron; Wyka Gary |
11306971 | Heat exchanger with multistaged cooling | Gajendra Manoj A. |
11309163 | Multibeamlet charged particle device and method | Vaez-Iravani Mehdi; Bencher Christopher Dennis; Sreerambhatla Krishna; Fawaz Hussein; Engel Lior; Perlmutter Robert |
11309169 | Biasable flux optimizer / collimator for PVD sputter chamber | Riker Martin Lee; Zhang Fuhong; Infante Anthony; Wang Zheng |
11309278 | Methods for bonding substrates | Lianto Prayudi; See Guan Huei; Thirunavukarasu Sriskantharajah; Sundarrajan Arvind; Dai Xundong; Fung Peter Khai Mum |
11309404 | Integrated CMOS source drain formation with advanced control | Colombeau Benjamin; Mandrekar Tushar; Liu Patricia M.; Parikh Suketu Arun; Bauer Matthias; Kioussis Dimitri R.; Natarajan Sanjay; Dube Abhishek |
11311491 | Metal oxide encapsulated drug compositions and methods of preparing the same | Neikirk Colin C.; Frankel Jonathan |
11313034 | Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition | Zeng Weimin; Cao Yong; Diehl Daniel Lee; Dai Huixiong; Phan Khoi; Ngai Christopher; Wang Rongjun; Tang Xianmin |
11313404 | Spring-loaded fastening system for process chamber liners | Webb Benjamin F. |
11314232 | Frequency response diagnostics for characterizing servo controlled mechanisms | Cranmer Adam Christopher |
11315232 | Residue detection using a luminance histogram | Benvegnu Dominic J.; Motamedi Nojan |
11315760 | Symmetric plasma process chamber | Carducci James D.; Tavassoli Hamid; Balakrishna Ajit; Chen Zhigang; Nguyen Andrew; Buchberger, Jr. Douglas A.; Ramaswamy Kartik; Rauf Shahid; Collins Kenneth S. |
11315763 | Shaped electrodes for improved plasma exposure from vertical plasma source | Bera Kallol; Dzilno Dmitry A.; Subramani Anantha K.; Forster John C.; Tanaka Tsutomu |
11315769 | Plasma source for rotating susceptor | Bera Kallol; Subramani Anantha K.; Forster John C.; Kraus Philip A.; Houshmand Farzad; Chen Hanhong |
11315771 | Methods and apparatus for processing a substrate | Xie Xiangjin; Zhang Fuhong; Pethe Shirish A.; Riker Martin Lee; Lo Lewis Yuan Tse; Zhong Lanlan; Tang Xianmin; Connors Paul Dennis |
11315787 | Multiple spacer patterning schemes | Yang Tzu-shun; Cheng Rui; Janakiraman Karthik; Huang Zubin; Kedlaya Diwakar; Gupta Meenakshi; Guggilla Srinivas; Lin Yung-chen; Oshio Hidetaka; Li Chao; Lee Gene |
11315790 | Enhanced substrate amorphization using intermittent ion exposure | Charnvanichborikarn Supakit; Hatem Christopher R. |
11315806 | Batch heating and cooling chamber or loadlock | Schaller Jason M.; Vopat Robert Brent; Pergande Paul E.; Riordon Benjamin B.; Blahnik David; Weaver William T. |
11315819 | System apparatus and method for enhancing electrical clamping of substrates using photo-illumination | Chen Qin; Blake Julian G.; Osborne Michael W.; Anella Steven M.; Fischer Jonathan D. |
11315890 | Methods of forming microvias with reduced diameter | Buch Chintan; Gouk Roman; Verhaverbeke Steven |
11315943 | Bottom-up approach to high aspect ratio hole formation in 3D memory structures | Gopalraja Praburam; Roy Susmit Singha; Mallick Abhijit Basu; Gandikota Srinivas |
11321839 | Interactive training of a machine learning model for tissue segmentation | Jha Sumit Kumar; Sista Aditya; Raghunathan Ganesh Kumar Mohanur; Kumar Ubhay; Sapre Kedar |
11322337 | Plasma processing system workpiece carrier with thermally isolated heater plate blocks | Lubomirsky Dmitry; Nguyen Son T.; Nguyen Anh N.; Palagashvili David |
11322347 | Conformal oxidation processes for 3D NAND | Swenberg Johanes F.; Kim Taewan; Olsen Christopher S.; Hansen Erika |
11322352 | Nitrogen-doped carbon hardmask films | Min Xiaoquan; Xu Lu; Kulshreshtha Prashant Kumar; Lee Kwangduk Douglas |
11322381 | Method for substrate registration and anchoring in inkjet printing | Zhang Daihua; Ng Hou T.; Patibandla Nag B.; Ganapathiappan Sivapackia; Luo Yingdong; Cho Kyuil; Chen Han-Wen |
11322649 | Three color light sources integrated on a single wafer | Chudzik Michael; Sanchez Errol Antonio C. |
11322685 | Controlling positive feedback in filamentary RRAM structures | Pe{hacek over (s)}ić Milan; Larcher Luca; Beltrando Bastien |
11325223 | Carrier head with segmented substrate chuck | Zuniga Steven M.; Gurusamy Jay |
11325827 | Pore formation in a substrate | Kraus Philip Allan; Johnson Joseph R. |
11326253 | Atomic layer deposition of protective coatings for semiconductor process chamber components | Fenwick David; Sun Jennifer Y. |
11326256 | Dome stress isolating layer | Bonecutter Luke; Yang Yunzhe; Choudhury Rupankar; Kangude Abhijit |
11327218 | Method of direct etching fabrication of waveguide combiners | Young Michael Yu-tak; McMillan Wayne; Meyer Timmerman Thijssen Rutger; Visser Robert Jan |
11328900 | Plasma ignition circuit | Pratt Teryl; Wang Rongping; Mao Guomin; Chuang Andy |
11328909 | Chamber conditioning and removal processes | Zhang Hanshen; Cui Zhenjiang; Ingle Nitin |
11328928 | Conformal high concentration boron doping of semiconductors | Gandikota Srinivas; Mallick Abhijit Basu; Srinivasan Swaminathan; Cheng Rui; Roy Susmit Singha; Thareja Gaurav; Srinivasan Mukund; Natarajan Sanjay |
11328929 | Methods, apparatuses and systems for substrate processing for lowering contact resistance | Ow Yueh Sheng; Wei Junqi; Shoo Wen Long Favier; Jupudi Ananthkrishna; Shimizu Takashi; Boh Kelvin; Koh Tuck Foong |
11328938 | Substrate processing apparatus and methods with factory interface chamber filter purge | Rice Michael R. |
11328943 | Dual gate and single actuator system | Kuppannan Kumaresan; Amir Ofer; Kuchar Michael |
11328964 | Prescriptive analytics in highly collinear response space | Bhatia Sidharth; Feng Jie; Cantwell Dermot |
11329003 | Anchoring dies using 3D printing to form reconstructed wafer | Zhang Daihua; Ng Hou T.; Patibandla Nag B.; Ganapathiappan Sivapackia; Luo Yingdong; Cho Kyuil; Chen Han-Wen |
11329052 | Method of processing DRAM | Liu Lequn; Panda Priyadarshi; Shaw Jonathan C. |
11330673 | Heated substrate support | Raj Govinda |
11331855 | Additive manufacturing with dithering scan path | Yao Zhengping; Steffas Paul J. |
11332376 | Diamond-like carbon film | Venkatasubramanian Eswaranand; Gottheim Samuel E.; Manna Pramit; Mallick Abhijit Basu |
11332488 | Metal precursors with modified diazabutadiene ligands for CVD and ALD and methods of use | Anthis Jeffrey W.; Basu Atashi; Thompson David; Kazem Nasrin |
11332827 | Gas distribution plate with high aspect ratio holes and a high hole density | Agarwal Sumit; Baluja Sanjeev; Peterson Chad; Rice Michael R. |
11332828 | Gas distribution assembly mounting for fragile plates to prevent breakage | Griffin Kevin; Sun Guangwei |
11333246 | Chamber body design architecture for next generation advanced plasma technology | Nguyen Andrew; Howard Bradley J.; Bright Nicolas J. |
11333896 | Fabrication of diffraction gratings | Godet Ludovic; McMillan Wayne; Meyer Timmerman Thijssen Rutger |
11335495 | System to optimize voltage distribution along high voltage resistor string in ICT high voltage power supply | Mogaveera Vasu; Lubicki Piotr R. |
11335531 | Shadow mask apparatus and methods for variable etch depths | Olson Joseph C.; Evans Morgan; Soldi Thomas; Meyer Timmerman Thijssen Rutger; Peploski Maurice Emerson |
11335543 | RF return path for reduction of parasitic plasma | Subramani Anantha K.; Kotrappa Arun Kumar; Kumar Hanish Panavalappil Kumarankutty; Sundar Ramcharan |
11335555 | Methods for conformal doping of three dimensional structures | Cheng Rui; Yang Yi; Janakiraman Karthik |
11335565 | Systems and methods to form airgaps | Chen Zhijun; Xu Lin; Wang Anchuan |
11335577 | Methods and apparatus to prevent interference between processing chambers | Zhang Fuhong; Garg Sunil Kumar; Kiely Paul; Riker Martin Lee; Fruchterman William; Wang Zheng; Wang Xiaodong |
11335590 | Methods for forming elongated contact hole ends | Gilchrist Glen F. R.; Liang Shurong |
11335591 | Thermal process chamber lid with backside pumping | Cui Anqing; Wu Dien-Yeh; Tang Wei V.; Yang Yixiong; Wang Bo |
11335690 | Multicolor approach to DRAM STI active cut patterning | Singh Tejinder; Koshizawa Takehito; Mallick Abhijit Basu; Manna Pramit; Fung Nancy; Venkatasubramanian Eswaranand; Hwang Ho-yung David; Gottheim Samuel E. |
11337277 | Circular lamp arrays | Ranish Joseph M. |
11338409 | Three-zone carrier head and flexible membrane | Oh Jeonghoon; Leighton Jamie |
11339466 | Heated shield for physical vapor deposition chamber | Lavitsky Ilya; Miller Keith A; Yoshidome Goichi |
11339469 | Vacuum processing system with holding arrangement | Lau Simon |
11339475 | Film stack overlay improvement | Han Xinhai; Padhi Deenesh; Benjamin Raj Daemian Raj; Enslow Kristopher; Wang Wenjiao; Ogata Masaki; Addepalli Sai Susmita; Jorapur Nikhil Sudhindrarao; Chichkanoff Gregory Eugene; Srivastava Shailendra; Baek Jonghoon; Ibrahimi Zakaria; Rocha-Alvarez Juan Carlos; Gung Tza-Jing |
11342209 | Methods and apparatus for measuring edge ring temperature | Hu Ji-Dih; Aderhold Wolfgang R.; Iu Dongming |
11342210 | Method and apparatus for measuring wafer movement and placement using vibration data | Potter Charles G.; Neal Terrance Allen |
11342226 | Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process | Balakrishnan Karthik; Park Jungrae; Thirunavukarasu Sriskantharajah; Peh Eng Sheng |
11342256 | Method of fine redistribution interconnect formation for advanced packaging applications | Chen Han-Wen; Verhaverbeke Steven; Cho Kyuil; Lianto Prayudi; See Guan Huei; Dicaprio Vincent |
11342481 | Preclean and encapsulation of microLED features | Knisley Thomas; Bhuyan Bhaskar Jyoti; Saly Mark; Zhu Mingwei |
11343884 | Method and apparatus for microwave treatment of dielectric films | Ahmad Iftikhar |
11344991 | Retainer for chemical mechanical polishing carrier head | Nagengast Andrew J.; Zuniga Steven M. |
11346875 | Micro resonator array sensor for detecting wafer processing parameters | Lin Chuang-Chia; Ummethala Upendra |
11348769 | Plasma-enhanced anneal chamber for wafer outgassing | Hawrylchak Lara; Scotney-Castle Matthew D.; Tam Norman L.; Spuller Matthew; Chan Kong Lung Samuel; Iu Dongming; Moffatt Stephen |
11348783 | Methods and apparatus for dynamical control of radial uniformity with two-story microwave cavities | Kobayashi Satoru; Sugai Hideo; Ivanov Denis; Scudder Lance; Lubomirsky Dmitry |
11348803 | Formation of bottom isolation | Lee Byeong Chan |
11348813 | Correcting component failures in ion implant semiconductor manufacturing tool | Liao Tianqing; Didari Sima; Rajagopal Harikrishnan |
11348823 | Compliant robot blade for substrate support and transfer | Sansoni Steven V.; Brodine Jeffrey; Mori Glen |
11348846 | Wafer processing tool having a micro sensor | Tedeschi Leonard |
11348983 | Conductive oxide overhang structures for OLED devices | Choung Ji-Young; Chen Chung-Chia; Lin Yu Hsin; Lee Jungmin; Haas Dieter; Kim Si Kyoung |
11352711 | Fluid recovery in semiconductor processing | Lee Sam; Hanson Kyle M.; Bergman Eric J. |
11353381 | Portable disc to measure chemical gas contaminants within semiconductor equipment and clean room | Holeyannavar Devendra Channappa; Hruzek Dean C.; Ramachandraiah Arunkumar; Hudgens Jeffrey C.; Nara Shivaraj Manjunath; Reuter Paul B. |
11353389 | Method and apparatus for detection of particle size in a fluid | Vaez-Iravani Mehdi; Ghosh Avishek |
11354383 | Successive bit-ordered binary-weighted multiplier-accumulator | Guo Frank Tzen-Wen; Yen She-Hwa |
11355317 | Methods and apparatus for dynamical control of radial uniformity in microwave chambers | Kobayashi Satoru; Scudder Lance; Britz David; Park Soonam; Lubomirsky Dmitry; Sugai Hideo |
11355321 | Plasma reactor with electrode assembly for moving substrate | Collins Kenneth S.; Rice Michael R.; Ramaswamy Kartik; Carducci James D. |
11355325 | Methods and systems for monitoring input power for process control in semiconductor process systems | Gopalan Ramesh; Mungekar Hemant; Mao Guomin; Wang Rongping; Pratt Teryl |
11355354 | Thermal deposition of doped silicon oxide | Shen Zeqing; Qi Bo; Mallick Abhijit Basu; Ingle Nitin K. |
11355358 | Methods of thinning silicon on epoxy mold compound for radio frequency (RF) applications | See Guan Huei; Lianto Prayudi; Gu Yu |
11355367 | Robot for simultaneous substrate transfer | Schaller Jason M.; Carlson Charles T.; Bonecutter Luke; Blahnik David; Muthukamatchi Karuppasamy; Hudgens Jeff; Riordon Benjamin |
11355368 | Decentralized substrate handling and processing system | Lloyd Scott Gregory |
11355391 | Method for forming a metal gapfill | Cen Xi; Ma Feiyue; Wu Kai; Lei Yu; Daito Kazuya; Xu Yi; Banthia Vikash; Chang Mei; Ren He; Hung Raymond Hoiman; Yao Yakuan; Gelatos Avgerinos V.; Or David T.; Zhou Jing; Jian Guoqiang; Lin Chi-Chou; Lai Yiming; Ye Jia; Wang Jenn-Yue |
11355394 | Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate breakthrough treatment | Lei Wei-Sheng; Eaton Brad; Kumar Ajay |
11355724 | Organic light-emitting diode (OLED) display devices with UV-cured filler | Yu Gang; Chen Chung-Chia; Lin Wan-Yu; Bang Hyunsung; Xu Lisong; Kwak Byung Sung; Visser Robert Jan |
11358809 | Vacuum robot apparatus for variable pitch access | Thanu Rajkumar; Hudgens Jeffrey C.; Muthukamatchi Karuppasamy |
11359281 | Selective deposition of SiCON by plasma ALD | Zhang Shuaidi; Li Ning; Balseanu Mihaela |
11359282 | Methods for forming impurity free metal alloy films | Bajaj Geetika; Thakare Darshan; Goradia Prerna; Visser Robert Jan; Yang Yixiong; Wrench Jacqueline S.; Gandikota Srinivas |
11359286 | Quartz crystal microbalance concentration monitor | Winkler Jereld; Verghese Mohith |
11359722 | Multinode multi-use O-ring and method for forming a seal | Maheshwari Shagun P.; Yang Yao-Hung; Lee King F.; Yu Andrew; Pal Aniruddha; Cho Tom K.; Liao Chien-Min |
11359732 | Method and mechanism for symmetrically controlling pressure in process chamber | Santi David |
11359972 | Temperature calibration with band gap absorption method | Cong Zhepeng; Chu Schubert S.; Myo Nyi O. |
11360298 | Reflective display devices and components | Nordsell Robert Anthony; Chadha Arvinder M. |
11361935 | Apparatus and system including high angle extraction optics | Biloiu Costel; Wallace Jay R.; Daniels Kevin M.; Sinclair Frank; Campbell Christopher |
11361939 | Semiconductor processing chamber for multiple precursor flow | Samir Mehmet Tugrul; Yang Dongqing; Lubomirsky Dmitry; Hillman Peter; Park Soonam; Choy Martin Yue; Zhu Lala |
11361940 | Push-pull power supply for multi-mesh processing chambers | Hammond Edward P. |
11361941 | Methods and apparatus for processing a substrate | Kim Junghoon; Cho Tae Seung; Lubomirsky Dmitry; Tran Toan |
11361948 | Temperature measurement for substrate carrier using a heater element array | Criminale Phillip; Guo Zhiqiang |
11361950 | Multi-cathode processing chamber with dual rotatable shields | Mazzocco John Joseph; Lafollett Cory Eugene |
11361968 | Atomic layer deposition using a substrate scanning system | Gilchrist Glen F R |
11361978 | Gas delivery module | Khan Adib M.; Liang Qiwei; Malik Sultan; Nemani Srinivas D. |
11361981 | Batch substrate support with warped substrate capability | Patel Shashidhara; Jupudi Ananthkrishna; Gautam Ribhu |
11361982 | Methods and apparatus for in-situ cleaning of electrostatic chucks | Savandaiah Kirankumar Neelasandra; Johanson William R.; Gunther David; Prabhu Prashant Prabhakar |
11361991 | Method for Si gap fill by PECVD | Liu Xin; Wang Fei; Cheng Rui; Mallick Abhijit Basu; Visser Robert Jan |
11362235 | Substrate structuring methods | Chen Han-Wen; Verhaverbeke Steven; Park Giback |
11362275 | Annealing processes for memory devices | Breil Nicolas Louis Gabriel; Krishnan Siddarth; Sharma Shashank; Someshwar Ria; Ng Kai; Kamalanathan Deepak |
11362307 | Encapsulation having polymer and dielectric layers for electronic displays | Cho Kyuil; Kwak Byung Sung; Visser Robert Jan |
11362404 | Microwave window including first and second plates with vertical stepped areas configured for pressure sealing a dielectric plate between the first and second plates | Putti Rajesh Kumar; Agarwal Prashant; Jupudi Ananthkrishna |
11363172 | Camera enclosure for thermal management | Leshniak Itai |
11365476 | Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices | Jha Praket P.; Ko Allen; Han Xinhai; Kwon Thomas Jongwan; Kim Bok Hoen; Kil Byung Ho; Kim Ryeun; Kim Sang Hyuk |
11367589 | Modulation of ion beam angle | Olson Joseph C.; Evans Morgan; Meyer Timmerman Thijssen Rutger |
11367593 | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device | Cui Linying; Rogers James |
11367594 | Multizone flow gasbox for processing chamber | Tong Mingle; Xia Li-Qun; Raj Daemian Raj Benjamin |
11367614 | Surface roughness for flowable CVD film | Guo Jinrui; Liang Jingmei; Jha Praket P.; Zhang Li |
11367643 | Method for substrate registration and anchoring in inkjet printing | Zhang Daihua; Ng Hou T.; Patibandla Nag B.; Ganapathiappan Sivapackia; Luo Yingdong; Cho Kyuil; Chen Han-Wen |
11367645 | Temperature tunable multi-zone electrostatic chuck | Criminale Phillip; Guo Zhiqiang; Myles Andrew |
11367653 | Systems and methods for improving within die co-planarity uniformity | McHugh Paul; Roh Kwan Wook; Wilson Gregory J. |
11368003 | Seamless electrical conduit | Kraus Philip Allan; Subramani Anantha K. |
11370078 | Chamber components with polished internal apertures | Sun Jennifer Y.; Firouzdor Vahid; Koonce David; Kanungo Biraja Prasad |
11370079 | Reinforcement ring for carrier head with flexible membrane | Oh Jeonghoon; Leighton Jamie |
11370083 | Pad conditioner cleaning system | Gadgil Shantanu Rajiv; Patankar Sumit Subhash; Davis Nathan Arron; Coughlin Michael J.; D'Ambra Allen L. |
11370114 | Autoteach enclosure system | Kopec Nicholas Michael; Kosinski Lyle; Farber Matvey; Hudgens Jeffrey |
11370669 | Amorphous silicon doped yttrium oxide films and methods of formation | Sato Tatsuya E.; Xia Li-Qun; Seutter Sean M. |
11371136 | Methods for selective deposition of dielectric on silicon oxide | Bhuyan Bhaskar Jyoti; Saly Mark; Thompson David; Kalutarage Lakmal C.; Howlader Rana |
11371144 | Low-k films | Zhang Shuaidi; Li Ning; Balseanu Mihaela |
11371148 | Fabricating a recursive flow gas distribution stack using multiple layers | Agarwal Sumit; Subramani Anantha K; Guo Yang; Chandrasekar Siva |
11371159 | Methods of reducing or eliminating deposits after electrochemical plating in an electroplating processor | Bergman Eric J; Crane Stuart; Youngbull Tricia A; Stolt Timothy G |
11372149 | Depth-modulated slanted gratings using gray-tone lithography and slant etch | Meyer Timmerman Thijssen Rutger; Godet Ludovic |
11373803 | Method of forming a magnetic core on a substrate | Suo Peng; Gu Yu; See Guan Huei; Sundarrajan Arvind |
11373845 | Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes | Cho Tae Seung; Natarajan Saravana Kumar; Schatz Kenneth D.; Lubomirsky Dmitry; Subramanya Samartha |
11373871 | Methods and apparatus for integrated selective monolayer doping | Colombeau Benjamin; Aderhold Wolfgang R.; Lo Andy; Huang Yi-Chiau |
11373877 | Methods and apparatus for in-situ protection liners for high aspect ratio reactive ion etching | Shimizu Daisuke; Hatakeyama Taiki; Koseki Shinichi; Kang Sean S.; Payyapilly Jairaj Joseph; Watanabe Hikaru |
11373882 | Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide | Sun Jennifer Y.; Duan Ren-Guan; Yuan Jie; Xu Li; Collins Kenneth S. |
11373890 | Wireless in-situ real-time measurement of electrostatic chucking force in semiconductor wafer processing | Gopalan Ramesh |
11373891 | Front-ducted equipment front end modules, side storage pods, and methods of operating the same | Reuter Paul B.; Armstrong Robin C.; Menk John C.; Merry Nir |
11373893 | Cryogenic electrostatic chuck | Sarode Vishwanath Yogananda; Babayan Steven E.; Prouty Stephen Donald; De Gorordo Alvaro Garcia; Schmid Andreas; Noujaim Andrew Antoine |
11373903 | Doped selective metal caps to improve copper electromigration with ruthenium liner | Naik Mehul B.; Wu Zhiyuan |
11374165 | Method of forming ultra-smooth bottom electrode surface for depositing magnetic tunnel junctions | Xue Lin; Hassan Sajjad Amin; Pakala Mahendra; Ahn Jaesoo |
11374170 | Methods to form top contact to a magnetic tunnel junction | Xue Lin; Ahn Jaesoo; Tseng Hsin-wei; Pakala Mahendra |
11375584 | Methods and apparatus for processing a substrate using microwave energy | Koh Tuck Foong; Ow Yueh Sheng; Chen Nuno Yen-Chu; Jupudi Ananthkrishna; Rao Preetham P. |
11376709 | Components for a chemical mechanical polishing tool | Attur Sreenidhi |
11377310 | Magnetic levitation system, base of a magnetic levitation system, vacuum system, and method of contactlessly holding and moving a carrier in a vacuum chamber | Aust Henning; Meiss Thorsten |
11378426 | System and method for monitoring sensor linearity as part of a production process | Schulze Bradley D.; Armacost Michael D. |
11378511 | Methods and apparatus for detecting corrosion of conductive objects | Peng Gang Grant; Mikkola Robert Douglas; Britz David; Scudder Lance; Groechel David W. |
11380517 | System and method for spatially resolved optical metrology of an ion beam | Shu Gang; Gilchrist Glen; Liang Shurong |
11380524 | Low resistance confinement liner for use in plasma chamber | Perry Joseph |
11380536 | Multi-step pre-clean for selective metal gap fill | Cen Xi; Yao Yakuan; Lai Yiming; Wu Kai; Gelatos Avgerinos V.; Or David T.; Kashefi Kevin; Lei Yu; Dong Lin; Ren He; Xu Yi; Naik Mehul; Chen Hao; Ling Mang-Mang |
11380557 | Apparatus and method for gas delivery in semiconductor process chambers | Kirchhoff Vincent; Gungor Faruk; Rabinovich Felix; Keppers Gary |
11380564 | Processing system having a front opening unified pod (FOUP) load lock | Newman Jacob |
11380572 | Substrate support carrier with improved bond layer protection | Prouty Stephen Donald; Schmid Andreas; Simmons Jonathan; Banda Sumanth |
11380575 | Film thickness uniformity improvement using edge ring and bias electrode geometry | Lo Kin Pong; Nagorny Vladimir; Liu Wei; Guarini Theresa Kramer; Hwang Bernard L.; Bevan Malcolm J.; Abraham Jacob; Behera Swayambhu Prasad |
11380578 | Formation of angled gratings | Meyer Timmerman Thijssen Rutger; Olson Joseph C.; Evans Morgan |
11380691 | CMOS over array of 3-D DRAM device | Varghese Sony; Fishburn Fred |
11380801 | Process to reduce plasma induced damage | Li Jianheng; Zhao Lai; Zhai Yujia; Choi Soo Young |
11384428 | Carbon layer covered mask in 3D applications | Ling Mang-Mang; Kwon Thomas; Kim Jong Mun; Ying Chentsau Chris |
11384429 | Selective cobalt deposition on copper surfaces | Yu Sang-Ho; Moraes Kevin; Ganguli Seshadri; Chung Hua; Phan See-Eng |
11384432 | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate | Rasheed Muhammad M.; Gandikota Srinivas; Sanchez Mario Dan; Jian Guoqiang; Yang Yixiong; Jadhav Deepak; Agarwal Ashutosh |
11384648 | Methods for depositing coatings on aerospace components | Melnik Yuriy; Chatterjee Sukti; Gangakhedkar Kaushal; Frankel Jonathan; Scudder Lance A.; Narwankar Pravin K.; Britz David Alexander; Knisley Thomas; Saly Mark; Thompson David |
11384838 | Seal member | Nakagawa Ippei; Yoshida Nobuhiro; Noorbakhsh Hamid |
11385536 | EUV mask blanks and methods of manufacture | Xiao Wen; Jindal Vibhu |
11385628 | Scheduling substrate routing and processing | Wang Chongyang |
11387071 | Multi-source ion beam etch system | Liang Qiwei; Nemani Srinivas D; Yieh Ellie; Buchberger Douglas; Ying Chentsau Chris |
11387073 | In situ angle measurement using channeling | Sinclair Frank; England Jonathan Gerald; Olson Joseph C. |
11387122 | Method and apparatus for measuring process kit centering | Potter Charles; Mor Eli |
11387134 | Process kit for a substrate support | Mustafa Muhannad; Rasheed Muhammad M.; Lei Yu; Gelatos Avgerinos V.; Banthia Vikash; Calderon Victor H.; Toh Shi Wei; Lee Yung-Hsin; Sen Anindita |
11387135 | Conductive wafer lift pin o-ring gripper with resistor | Cotlear Roberto Cesar; Willwerth Michael D. |
11387338 | Methods for forming planar metal-oxide-semiconductor field-effect transistors | Zhang Qintao; Hong Samphy; Zhong Lei; Lee David Jon; Levitov Felix; Caballero Carlos; Chaturvedula Durgaprasad |
11388810 | System, apparatus and method for multi-frequency resonator operation in linear accelerator | Kurunczi Peter F.; Blahnik David T.; Sinclair Frank |
11388822 | Methods for improved polymer-copper adhesion | Chakraborty Tapash; Verhaverbeke Steven; Chen Han-Wen; Buch Chintan; Goradia Prerna; Park Giback; Cho Kyuil |
11389925 | Offset head-spindle for chemical mechanical polishing | Zuniga Steven M.; Gurusamy Jay; Kim Bum Jick; Loi Danielle |
11390638 | Molybdenum(VI) precursors for deposition of molybdenum films | Leoncini Andrea; Mehlmann Paul; Dordevic Nemanja; Huynh Han Vinh; Yong Doreen Wei Ying; Saly Mark; Bhuyan Bhaskar Jyoti; Liu Feng Q. |
11390940 | System and method to control PVD deposition uniformity | Xiao Wen; Jindal Vibhu; Bhat Sanjay |
11390947 | Method of forming a fluorinated metal film | Deepak Nitin; Seth Suresh Chand; Goradia Prerna Sonthalia; Bajaj Geetika; Thakare Darshan; Sun Jennifer Y.; Natu Gayatri |
11393661 | Remote modular high-frequency source | Nguyen Hanh; Chua Thai Cheng; Kraus Philip Allan |
11393665 | Physical vapor deposition (PVD) chamber with reduced arcing | Du Chao; Cao Yong; Gong Chen; Li Mingdong; Zhang Fuhong; Wang Rongjun; Tang Xianmin |
11393678 | Low-k dielectric films | Durand William J.; Saly Mark; Kalutarage Lakmal C.; Yim Kang Sub; Mukherjee Shaunak |
11393703 | Apparatus and method for controlling a flow process material to a deposition chamber | Lerner Alexander; Shaviv Roey; Stout Phillip; Ranish Joseph M; Kothnur Prashanth; Radhakrishnan Satish |
11393710 | Wafer edge ring lifting solution | Rice Michael R.; Sarode Vishwanath Yogananda; Srinivasan Sunil; Dhindsa Rajinder; Babayan Steven E.; Luere Olivier; Koosau Denis M.; Yousif Imad |
11393916 | Methods for GAA I/O formation by selective epi regrowth | Colombeau Benjamin; Bauer Matthias; Siddiqui Naved Ahmed; Stout Phillip |
11396698 | ALD process for NiO film with tunable carbon content | Anthis Jeffrey W.; Saheli Ghazal; Liu Feng Q.; Thompson David |
11396699 | Method for controlling a processing system | Neuber Andreas |
11396703 | Apparatus and methods for improving chemical utilization rate in deposition process | Griffin Kevin; Baluja Sanjeev; AuBuchon Joseph; Silvetti Mario D.; Ponnekanti Hari |
11397289 | Controlling etch angles by substrate rotation in angled etch tools | Meyer Timmerman Thijssen Rutger; Evans Morgan; Olson Joseph C. |
11397384 | Signal recognition during substrate patterning via digital photolithography | Sallak Rashid M. |
11398369 | Method and apparatus for actively tuning a plasma power source | Wang Rongping; Salimian Siamak; Cho Tom K. |
11398388 | Methods for selective dry etching gallium oxide | Liu Feng Q.; Enman Lisa J.; Kalutarage Lakmal C.; Saly Mark J. |
11398433 | Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration | Chen Han-Wen; Verhaverbeke Steven; See Guan Huei; Park Giback; Cellere Giorgio; Tonini Diego; Dicaprio Vincent; Cho Kyuil |
11400545 | Laser ablation for package fabrication | Leschkies Kurtis; Lei Wei-Sheng; Franklin Jeffrey L.; Delmas Jean; Chen Han-Wen; Park Giback; Verhaverbeke Steven |
11400560 | Retaining ring design | Oh Jeonghoon; Garretson Charles C.; Lau Eric; Nagengast Andrew; Zuniga Steven M.; Suarez Edwin C.; Zhang Huanbo; Brown Brian J. |
11400649 | Air knife assembly for additive manufacturing | Patel Dakshalkumar; Chaturvedi Girish Kumar; Upadhye Bahubali S.; Acharya Sumedh; Chidambaram Mahendran; Bagul Nilesh Chimanrao |
11401599 | Erosion resistant metal silicate coatings | He Xiao-Ming; Chou Cheng-Hsuan; Sun Jennifer Y. |
11401602 | Catalyst enhanced seamless ruthenium gap fill | Yoon Byunghoon; Ganguli Seshadri; Cen Xi |
11404248 | Modular microwave plasma source | Kraus Philip Allan; Chua Thai Cheng |
11404263 | Deposition of low-stress carbon-containing layers | Wang Huiyuan; Kustra Rick; Qi Bo; Mallick Abhijit Basu; Alayavalli Kaushik; Pinson Jay D. |
11404296 | Method and apparatus for measuring placement of a substrate on a heater pedestal | Potter Charles G.; Vaughan Anthony D. |
11404297 | Systems, apparatus, and methods for an improved load port | Bonecutter Luke W.; Blahnik David T.; Reuter Paul B. |
11404313 | Selective tungsten deposition at low temperatures | Xu Yi; Hu Yufei; Lei Yu; Daito Kazuya; He Da; Cen Jiajie |
11404314 | Metal line patterning | Varghese Sony; Zeeshan M. Arif; Kallakuri Shantanu; Chan Kelvin |
11404318 | Methods of forming through-silicon vias in substrates for advanced packaging | Suo Peng; Wang Ying W.; See Guan Huei; Yong Chang Bum; Sundarrajan Arvind |
11404612 | LED device having blue photoluminescent material and red/green quantum dots | Luo Yingdong; Xu Lisong; Ganapathiappan Sivapackia; Ng Hou T.; Kwak Byung Sung; Zhu Mingwei; Patibandla Nag B. |
11404636 | Crested barrier device and synaptic element | Pe{hacek over (s)}ić Milan |
11408068 | Deposition of tellurium-containing thin films | Knisley Thomas; Woods Keenan N.; Saly Mark; Winter Charles H.; Upadhyay Apoorva |
11408075 | Batch curing chamber with gas distribution and individual pumping | Khan Adib; Venkataraman Shankar; Pinson, II Jay D.; Yang Jang-Gyoo; Ingle Nitin Krishnarao; Liang Qiwei |
11408530 | Valve for varying flow conductance under vacuum | Mustafa Muhannad; Rasheed Muhammad M. |
11410860 | Process chamber for etching low k and other dielectric films | Lubomirsky Dmitry; Nemani Srinivas; Yieh Ellie; Belostotskiy Sergey G. |
11410869 | Electrostatic chuck with differentiated ceramics | Parkhe Vijay D. |
11410873 | Deep trench integration processes and devices | Yu Lan; Sherwood Tyler; Chudzik Michael; Krishnan Siddarth |
11410881 | Impurity removal in doped ALD tantalum nitride | Li Rui; Xie Xiangjin; Ha Tae Hong; Tang Xianmin; Chen Lu |
11410885 | Fully aligned subtractive processes and electronic devices therefrom | Ren He; Jiang Hao; Naik Mehul |
11411039 | Stacked pixel structure formed using epitaxy | Chen Papo; Boland John; Chu Schubert S.; Sanchez Errol Antonio C.; Moffatt Stephen |
11411125 | Ferroelectric-assisted tunneling selector device | Pe{hacek over (s)}ić Milan |
11413744 | Multi-turn drive assembly and systems and methods of use thereof | Hudgens Jeff; Cox Damon K.; Thanu Rajkumar |
11413767 | Sensor-based position and orientation feedback of robot end effector with respect to destination chamber | Shivanna Preetham Kariyaiah; Hudgens Jeffrey; Wirth Paul Zachary |
11413817 | Air knife inlet and exhaust for additive manufacturing | Patel Dakshalkumar; Chaturvedi Girish Kumar; Upadhye Bahubali S.; Acharya Sumedh; Chidambaram Mahendran; Bagul Nilesh Chimanrao |
11414740 | Processing system for forming layers | Lerner Alexander N.; Shaviv Roey; Karazim Michael P.; Moraes Kevin Vincent; Sansoni Steven V.; Constant Andrew J.; Brodine Jeffrey Allen; Vellore Kim Ramkumar; Sade Amikam; Kumar Niranjan |
11414751 | Self-aligned structures from sub-oxides | Gandikota Srinivas; Roy Susmit Singha; Mallick Abhijit Basu |
11415147 | Pumping liner for improved flow uniformity | Mustafa Muhannad; Rasheed Muhammad M.; Sanchez Mario D. |
11415463 | Contactless workpiece temperature sensor | Pergande Paul E. |
11415538 | Capacitive sensor housing for chamber condition monitoring | Pan Yaoling; Tae Patrick John; Tedeschi Leonard; Willwerth Michael D.; McCormick Daniel Thomas |
11416977 | Self-measurement of semiconductor image using deep learning | Kumar Abhinav; Dixit Tarpan |
11417010 | Image based metrology of surface deformations | Vaez-Iravani Mehdi; Zhao Guoheng |
11417515 | Methods for depositing blocking layers on metal surfaces | Bhuyan Bhaskar Jyoti; Saly Mark; Kalutarage Lakmal C.; Knisley Thomas |
11417517 | Treatments to enhance material structures | Gandikota Srinivas; Yang Yixiong; Wrench Jacqueline Samantha; Yang Yong; Hung Steven C. H. |
11417534 | Selective material removal | Xia Ming; Yang Dongqing; Hsu Ching-Mei |
11417537 | Methods of etching metal-containing layers | Mehrotra Akhil; Lee Gene S.; Patil Abhijit; Jiang Shan; Hesabi Zohreh |
11417553 | Substrate deformation detection and correction | Gadre Milind |
11417561 | Edge ring for a substrate processing chamber | Raj Govinda; Narendrnath Kadthala Ramaya; Vasantha Bopanna Ichettira; Yavelberg Simon |
11417568 | Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill | Lei Wei; Xu Yi; Lei Yu; Ha Tae Hong; Hung Raymond; Pethe Shirish A. |
11417605 | Reconstituted substrate for radio frequency applications | See Guan Huei; Chidambaram Ramesh |
11420217 | Showerhead for ALD precursor delivery | Mustafa Muhannad; Rasheed Muhammad M. |
11421316 | Methods and apparatus for controlling warpage in wafer level packaging processes | Lianto Prayudi; Rafi Mohamed; Sulaiman Muhammad Azim Bin Syed; See Guan Huei; Kristy Ang Yu Xin; Elumalai Karthik; Thirunavukarasu Sriskantharajah; Sundarrajan Arvind |
11421318 | Methods and apparatus for high reflectivity aluminum layers | Wrench Jacqueline; Wu Liqi; Wu Hsiang Ning; Ma Paul; Yu Sang-Ho; Vasiknanonte Fuqun Grace; Sasaki Nobuyuki |
11421322 | Blocker plate for use in a substrate process chamber | Yuan Xiaoxiong; Lei Yu; Xu Yi; Daito Kazuya; Lei Pingyan; Wu Dien-Yeh; Kelkar Umesh M.; Banthia Vikash |
11421324 | Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition | Hsu Jui-Yuan; Nittala Krishna; Manna Pramit; Janakiraman Karthik |
11421977 | Eliminating internal reflections in an interferometric endpoint detection system | Lian Lei; Han Pengyu |
11422096 | Surface topography measurement apparatus and method | Li Weimin; Xiao Wen; Jindal Vibhu; Bhat Sanjay |
11424096 | Temperature controlled secondary electrode for ion control at substrate edge | Noorbakhsh Hamid; Ramaswamy Kartik; Husain Anwar |
11424097 | Ion source with tubular cathode | Koo Bon-Woong; Radovanov Svetlana; Sinclair Frank; Li You Chia; Ewing Peter; Sarajlic Ajdin; Rowland Christopher A.; Carbone Nunzio |
11424104 | Plasma reactor with electrode filaments extending from ceiling | Collins Kenneth S.; Rice Michael R.; Ramaswamy Kartik; Carducci James D. |
11424125 | Angled ion implant to reduce MOSFET trench sidewall roughness | Zhang Qintao; Zou Wei; Gossmann Hans-Joachim L. |
11424132 | Methods and apparatus for controlling contact resistance in cobalt-titanium structures | Kuratomi Takashi; Gelatos Avgerinos; Ha Tae Hong; Lu Xuesong; Ho Szuheng; Lei Wei; Lee Mark; Hung Raymond; Tang Xianmin |
11424134 | Atomic layer etching of metals | Deepak Nitin; Goradia Prerna Sonthalia |
11424136 | Rare-earth oxide based coatings based on ion assisted deposition | Sun Jennifer Y.; Kanungo Biraja P.; Firouzdor Vahid; Zhang Ying |
11424137 | Drying process for high aspect ratio features | Gouk Roman; Chen Han-Wen; Verhaverbeke Steven; Delmas Jean |
11424149 | Substrate transfer mechanism to reduce back-side substrate contact | Ishii Masato; Collins Richard O.; Giljum Richard; Berger Alexander |
11424164 | Enhanced etch resistance for insulator layers implanted with low energy ions | Waite Andrew Michael; van Meer Johannes M.; Lee Jae Young |
11424454 | Protection interfaces for Li-ion battery anodes | Rangasamy Ezhiylmurugan; Herle Subramanya P. |
11427912 | High temperature rotation module for a processing chamber | Mustafa Muhannad; Rasheed Muhammad M. |
11427928 | Lower side wall for epitaxtail growth apparatus | Okabe Akira; Mori Yoshinobu |
11429026 | Lithography process window enhancement for photoresist patterning | Dai Huixiong; Bangar Mangesh Ashok; Nemani Srinivas D.; Ngai Christopher S.; Yieh Ellie Y. |
11430634 | Methods of optical device fabrication using an electron beam apparatus | Godet Ludovic; Meyer Timmerman Thijssen Rutger; Ramaswamy Kartik; Yang Yang; Thothadri Manivannan; Chen Chien-An |
11430641 | Processing systems and methods to control process drift | Chua Vivien; Kulshreshtha Prashant Kumar; Jiang Zhijun; Ruan Fang; Kedlaya Diwakar |
11430654 | Initiation modulation for plasma deposition | Mutyala Madhu Santosh Kumar; Kamath Sanjay; Padhi Deenesh |
11430655 | Low temperature high-quality dielectric films | Venkatasubramanian Eswaranand; Gottheim Samuel E.; Manna Pramit; Mallick Abhijit Basu |
11430661 | Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition | Kuratomi Takashi; Chen I-Cheng; Gelatos Avgerinos V.; Lei Pingyan; Chang Mei; Tang Xianmin |
11430672 | Drying environments for reducing substrate defects | Velazquez Edwin; Atkinson Jim Kellogg |
11430680 | Position and temperature monitoring of ALD platen susceptor | Ravid Abraham; Griffin Kevin; Yudovsky Joseph; Gangakhedkar Kaushal; Dzilno Dmitry A.; Minkovich Alex |
11430686 | Pedestal heater for spatial multi-wafer processing tool | Ulavi Tejas; Baluja Sanjeev; Kashyap Dhritiman Subha |
11430801 | Methods and apparatus for three dimensional NAND structure fabrication | Koshizawa Takehito; Srinivasan Mukund; Kitajima Tomohiko; Kang Chang Seok; Kang Sung-Kwan; Lee Gill Y.; Singha Roy Susmit |
11430877 | Ion implantation to reduce nanosheet gate length variation | Gu Sipeng; Guo Baonian; Zhang Qintao; Zou Wei; Shim Kyuha |
11430898 | Oxygen vacancy of amorphous indium gallium zinc oxide passivation by silicon ion treatment | Del-Agua-Borniquel Jose-Ignacio; Dekkers Hendrik F. W.; Van Meer Hans; Lee Jae Young |
11433436 | Carousel for ultrasonic cleaning and method of using thereof | Coughlin Michael J.; D'Ambra Allen L. |
11434254 | Dinuclear molybdenum precursors for deposition of molybdenum-containing films | Leoncini Andrea; Mehlmann Paul; Dordevic Nemanja; Huynh Han Vinh; Yong Doreen Wei Ying |
11434568 | Heated ceramic faceplate | Rocha-Alvarez Juan Carlos; Quach David H. |
11434569 | Ground path systems for providing a shorter and symmetrical ground path | Nguyen Tuan Anh; Schaller Jason M.; Hammond, IV Edward P.; Blahnik David; Ulavi Tejas; Bansal Amit Kumar; Baluja Sanjeev; Ma Jun; Rocha Juan Carlos |
11437215 | Electrostatic filter providing reduced particle generation | Likhanskii Alexandre; Cucchetti Antonella; Hermanson Eric D.; Sinclair Frank; Scheuer Jay T.; Lindberg Robert C. |
11437230 | Amorphous carbon multilayer coating with directional protection | Wu Wei; Zhang Feng; Yang Xiawan; Choi Jinhan; Khan Anisul Haque |
11437238 | Patterning scheme to improve EUV resist and hard mask selectivity | Fung Nancy; Lang Chi-I; Hwang Ho-yung David |
11437242 | Selective removal of silicon-containing materials | Ko Jungmin; Kim Kwang-Soo; Choi Thomas; Ingle Nitin |
11437254 | Sequencer time leaping execution | Wang Chongyang |
11437261 | Cryogenic electrostatic chuck | Sarode Vishwanath Yogananda; Babayan Steven E.; Prouty Stephen Donald; García De Gorordo Álvaro; Schmid Andreas; Noujaim Andrew Antoine |
11437262 | Wafer de-chucking detection and arcing prevention | Balasubramanian Ganesh; Yoon Byung Chul; Mungekar Hemant |
11437271 | Seamless gap fill | Yang Yixiong; Gandikota Srinivas; Liu Wei |
11437284 | Contact over active gate structure | Wang Wenhui; Dai Huixiong; Ngai Christopher S. |
11437488 | Split-gate MOSFET with gate shield | Zhang Qintao; Hong Samphy; Lee David J.; Appell Jason |
11437559 | Method and apparatus for deposition of multilayer device with superconductive film | Zhu Mingwei; Yang Zihao; Patibandla Nag B.; Godet Ludovic; Cao Yong; Diehl Daniel Lee; Chen Zhebo |
11440159 | Edge load ring | Pai Uday; Oh Jeonghoon; Nguyen Van H. |
11441236 | Chamber components for epitaxial growth apparatus | Oki Shinichi; Mori Yoshinobu |
11441974 | Detection of surface particles on chamber components with carbon dioxide | Wang Changgong; Zuo Zhili; Ke Chang; Suh Song-Moon |
11441992 | Method and apparatus for detection of particle size in a fluid | Vaez-Iravani Mehdi; Egan Todd; Zhao Guoheng |
11442000 | In-situ, real-time detection of particulate defects in a fluid | Vaez-Iravani Mehdi; Bhoyar Sankesha; Sharma Rachit; Zhao Guoheng |
11443919 | Film formation via pulsed RF plasma | Nittala Krishna; Kedlaya Diwakar N.; Janakiraman Karthik; Yang Yi; Cheng Rui |
11443921 | Radio frequency ground system and method | Fu Gaosheng; Nguyen Tuan Anh; Bansal Amit Kumar |
11443936 | Methods and apparatus for aluminum oxide surface recovery | Leal Cervantes Carmen; Jansen Alexander; Xie Xiangjin |
11443948 | Doping techniques | Aderhold Wolfgang; Huang Yi-Chiau; Liu Wei; Colombeau Benjamin; Mayur Abhilash |
11443973 | Robot for simultaneous substrate transfer | Schaller Jason M.; Bonecutter Luke; Carlson Charles T.; Thanu Rajkumar; Muthukamatchi Karuppasamy; Hudgens Jeff; Riordon Benjamin |
11444153 | Method of forming stress memorization layer on backside of semiconductor substrate and semiconductor device thereof | Zhang Qintao; Zou Wei |
11446711 | Steam treatment stations for chemical mechanical polishing system | Wu Haosheng; Tang Jianshe; Soundararajan Hari; Chang Shou-Sung; Chen Hui; Chou Chih Chung; Fisher Alexander John; Butterfield Paul D. |
11446740 | Multiple sequential linear powder dispensers for additive manufacturing | Rowland Christopher A.; Subramani Anantha K.; Krishnan Kasiraman; Ramaswamy Kartik; Brezoczky Thomas B.; Srinivasan Swaminathan; Sun Jennifer Y.; Yavelberg Simon; Nemani Srinivas D.; Patibandla Nag B.; Ng Hou T. |
11446783 | Filtering during in-situ monitoring of polishing | Dhandapani Sivakumar |
11446788 | Precursor formulations for polishing pads produced by an additive manufacturing process | Bajaj Rajeev; Redfield Daniel; Orilall Mahendra C.; Fu Boyi; Chockalingam Ashwin; Kumar Ashavani; Redeker Fred C.; Patibandla Nag B. |
11447857 | Methods and apparatus for reducing tungsten resistivity | Hou Wenting; Lei Jianxin; Ramalingam Jothilingam; Kothnur Prashanth; Johanson William R. |
11447865 | Deposition of low-κ films | Zhang Shuaidi; Li Ning; Balseanu Mihaela A.; Bhuyan Bhaskar Jyoti; Saly Mark; Knisley Thomas |
11447866 | High temperature chemical vapor deposition lid | Mustafa Muhannad; Rasheed Muhammad M.; Sanchez Mario D.; Gandikota Srinivas; Tang Wei V. |
11447868 | Method for controlling a plasma process | Nguyen Andrew; Ramaswamy Kartik; Chafin Michael G.; Yang Yang; Rayaroth Anilkumar; Liu Lu |
11448977 | Gas distribution plate with UV blocker at the center | Ramaswamy Kartik; Willwerth Michael D.; Yang Yang |
11449026 | Variable loop control feature | Shankaramurthy Venkatanarayana; Baryshnikov Anton; Berens Brett; Sanghvi Mitesh; Liu Shuang |
11450504 | GeH<sub>4</sub>/Ar plasma chemistry for ion implant productivity enhancement | Koo Bon-Woong; Sarajlic Ajdin; Johnson Ronald; Carbone Nunzio V.; Ewing Peter; Deegan Mervyn |
11450509 | Inductive plasma source with metallic shower head using b-field concentrator | Lai Canfeng; Tobin Jeffrey; Porshnev Peter I.; Marin Jose Antonio |
11450511 | Methods and apparatus for zone control of RF bias for stress uniformity | Sun Lizhong; Yang Yi; Chen Jian Janson; Ma Chong; Yang Xiaodong |
11450514 | Methods of reducing particles in a physical vapor deposition (PVD) chamber | Dou Wei; Cao Yong; Li Mingdong; Lavan Shane; Ramalingam Jothilingam; Liu Chengyu |
11450525 | Selective aluminum oxide film deposition | Wu Liqi; Nguyen Hung; Bhuyan Bhaskar Jyoti; Saly Mark; Liu Feng Q.; Thompson David |
11450539 | Substrate processing systems, apparatus, and methods with factory interface environmental controls | Koshti Sushant S.; Hruzek Dean C.; Majumdar Ayan; Menk John C.; Lee Helder T.; Patil Sangram; Rajaram Sanjay; Baumgarten Douglas B.; Merry Nir |
11450546 | Semiconductor substrate support with internal channels | Parkhe Vijay D. |
11450759 | Gate all around I/O engineering | Hung Steven C. H.; Colombeau Benjamin; Lo Andy; Lee Byeong Chan; Swenberg Johanes F.; Guarini Theresa Kramer; Bevan Malcolm J. |
11453097 | Chemical mechanical polishing apparatus and methods | Bajaj Rajeev; Osterheld Thomas H.; Chen Hung; Lee Terrance Y. |
11453099 | Retaining ring having inner surfaces with features | Reedy Steven Mark; Yavelberg Simon; Oh Jeonghoon; Zuniga Steven M.; Nagengast Andrew J.; Hsu Samuel Chu-Chiang; Dandavate Gautam Shashank |
11454876 | EUV mask blank absorber defect reduction | Varghese Binni; Jindal Vibhu; Zerrade Azeddine; Liu Shiyu; Ramalingam Ramya |
11454884 | Fluoropolymer stamp fabrication method | Gouk Roman; Delmas Jean; Verhaverbeke Steven; Buch Chintan |
11456152 | Modulation of rolling K vectors of angled gratings | Olson Joseph C.; Evans Morgan; Meyer Timmerman Thijssen Rutger |
11456161 | Substrate support pedestal | Larosa Steven Joseph; Prouty Stephen |
11456171 | Deep trench integration processes and devices | Yu Lan; Sherwood Tyler |
11456173 | Methods for modifying photoresist profiles and tuning critical dimensions | Gupta Meenakshi; Cheng Rui; Guggilla Srinivas; Janakiraman Karthik; Kedlaya Diwakar N.; Huang Zubin |
11456178 | Gate interface engineering with doped layer | Hung Steven C. H.; Colombeau Benjamin; Dube Abhishek; Kung Sheng-Chin; Liu Patricia M.; Bevan Malcolm J.; Swenberg Johanes F. |
11456179 | Methods for forming semiconductor device having uniform fin pitch | Sung Min Gyu |
11456197 | Systems and methods for providing maintenance access to electronic device manufacturing tools | Rice Michael R.; Rocha-Alvarez Juan Carlos; Hudgens Jeffrey C. |
11456205 | Methods for variable etch depths | Evans Morgan; Olson Joseph C.; Meyer Timmerman Thijssen Rutger; Distaso Daniel; Boas Ryan |
11456301 | Dram and method of making | Kumar Arvind; Pakala Mahendra; Manhas Sanjeev; Gautam Satendra Kumar |
11456345 | Conductive oxide overhang structures for OLED devices | Choung Ji-young; Chen Chung-Chia; Lin Yu Hsin; Lee Jungmin; Haas Dieter; Kim Si Kyoung |
11459347 | Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films | Leoncini Andrea; Mehlmann Paul; Dordevic Nemanja; Huynh Han Vinh; Yong Doreen Wei Ying |
11459651 | Paste method to reduce defects in dielectric sputtering | Wang Xiaodong; Wang Rongjun; Wu Hanbing |
11459652 | Techniques and device structures based upon directional dielectric deposition and bottom-up fill | Zeeshan M. Arif; Ma Tristan Y.; Chan Kelvin |
11460413 | Apparatus and method for inspecting lamps | Raj Govinda; Nestorov Vilen K. |
11462386 | Electron beam apparatus for optical device fabrication | Ramaswamy Kartik; Yang Yang; Thothadri Manivannan; Chen Chien-An; Godet Ludovic; Meyer Timmerman Thijssen Rutger |
11462388 | Plasma processing assembly using pulsed-voltage and radio-frequency power | Dorf Leonid; Dhindsa Rajinder; Rogers James; Byun Daniel Sang; Kamenetskiy Evgeny; Guo Yue; Ramaswamy Kartik; Todorow Valentin N.; Luere Olivier; Cui Linying |
11462389 | Pulsed-voltage hardware assembly for use in a plasma processing system | Dorf Leonid; Dhindsa Rajinder; Rogers James; Byun Daniel Sang; Kamenetskiy Evgeny; Guo Yue; Ramaswamy Kartik; Todorow Valentin N.; Luere Olivier; Kolbeck Jonathan; Cui Linying |
11462396 | Lamp cross-section for reduced coil heating | Ranish Joseph M. |
11462411 | Gate contact over active regions | Thareja Gaurav; Kashefizadeh Keyvan; Wang Xikun; Wang Anchuan; Natarajan Sanjay; Seutter Sean M.; Wu Dong |
11462417 | High pressure and high temperature anneal chamber | Delmas Jean; Verhaverbeke Steven; Leschkies Kurtis |
11462426 | Methods and assemblies for gas flow ratio control | Brashear Kevin; Okada Ashley M.; Demars Dennis L.; Ye Zhiyuan; Rajaram Jaidev; Josephson Marcel E. |
11462438 | Volumetric expansion of metal-containing films by silicidation | Roy Susmit Singha; Gandikota Srinivas; Mallick Abhijit Basu; Mullick Amrita B. |
11462630 | Conformal halogen doping in 3D structures using conformal dopant film deposition | Cheng Rui; Yang Yi; Janakiraman Karthik; Mallick Abhijit Basu |
11462733 | Ex-situ solid electrolyte interface modification using chalcogenides for lithium metal anode | Gopalakrishnan Nair Girish Kumar; Herle Subramanya P.; Armstrong Karl J. |
11466364 | Methods for forming protective coatings containing crystallized aluminum oxide | Ohno Kenichi; Liu Eric H.; Chatterjee Sukti; Melnik Yuriy; Knisley Thomas; Britz David Alexander; Scudder Lance A.; Narwankar Pravin K. |
11467499 | System and method of measuring refractive index of EUV mask absorber | Xiao Wen; Jindal Vibhu; Liu Huajun; Yoong Herng Yau |
11467508 | Pellicle adhesive residue removal system and methods | Wu Banqiu; Dagan Eli |
11469075 | Identifying fiducial markers in microscope images | Chang Yun-Ching |
11469080 | Magnetron assembly having coolant guide for enhanced target cooling | Huderi Somanna Dinkesh; West Brian T.; Oh Jeonghoon |
11469096 | Method and chamber for backside physical vapor deposition | Zhou Chunming; Ramalingam Jothilingam; Cao Yong; Moraes Kevin Vincent; Lavan Shane |
11469097 | Carbon hard masks for patterning applications and methods related thereto | Venkatasubramanian Eswaranand; Yang Yang; Manna Pramit; Ramaswamy Kartik; Koshizawa Takehito; Mallick Abhijit Basu |
11469100 | Methods of post treating dielectric films with microwave radiation | Sun Yong; Jha Praket Prakash; Liang Jingmei; Seamons Martin Jay; Li DongQing; Sharma Shashank; Mayur Abhilash J.; Aderhold Wolfgang R. |
11469107 | Highly etch selective amorphous carbon film | Prasad Rajesh; Bobek Sarah; Kulshreshtha Prashant Kumar; Lee Kwangduk Douglas; Whitesell Harry; Oshio Hidetaka; Lee Dong Hyung; Raj Mittal Deven Matthew; Falk Scott; Chavva Venkataramana R. |
11469113 | High pressure and high temperature anneal chamber | Delmas Jean; Verhaverbeke Steven; Leschkies Kurtis |
11469123 | Mapping of a replacement parts storage container | Bergantz Nicholas Michael; Hudgens Jeffrey; McAllister Doug; Lee Helder |
11469124 | Contactless latch and coupling for vacuum wafer transfer cassette | Patil Shanthaveeraswamy Shreyas; Gautam Ribhu; Nagarajan Kumaresan; Singh Vijay; Constant Andrew J.; Karazim Michael P.; Vellore Kim Ramkumar |
11470956 | Brush, method of forming a brush, and structure embodied in a machine readable medium used in a design process | Bajaj Rajeev |
11471999 | Integrated abrasive polishing pads and manufacturing methods | Kumar Ashavani; Chockalingam Ashwin; Ganapathiappan Sivapackia; Bajaj Rajeev; Fu Boyi; Redfield Daniel; Patibandla Nag B.; Cornejo Mario Dagio; Sinha Amritanshu; Zhao Yan; Arnepalli Ranga Rao; Redeker Fred C. |
11473189 | Method for particle removal from wafers through plasma modification in pulsed PVD | Chong Halbert; Zhou Lei; Allen Adolph Miller; Soni Vaibhav; Kalathiparambil Kishor; Faune Vanessa; Suh Song-Moon |
11473191 | Method for creating a dielectric filled nanostructured silica substrate for flat optical devices | Roy Tapashree; Meyer Timmerman Thijssen Rutger; Godet Ludovic; Fu Jinxin |
11473198 | Homoleptic lanthanide deposition precursors | Knisley Thomas; Saly Mark |
11473978 | Enhanced substrate temperature measurement apparatus, system and method | Sun Dawei; Lischer D. Jeffrey; Chen Qin; Stone Dale K.; Stone Lyudmila; Anella Steven; Serisky Ron; Cheng Chi-Yang |
11476084 | Apparatus and techniques for ion energy measurement in pulsed ion beams | Lee W. Davis |
11476087 | Ion implantation system and linear accelerator having novel accelerator stage configuration | Sinclair Frank |
11476090 | Voltage pulse time-domain multiplexing | Ramaswamy Kartik; Yang Yang; Guo Yue |
11476093 | Plasma etching systems and methods with secondary plasma injection | Tran Toan Q.; Park Soonam; Weng Zilu; Lubomirsky Dmitry |
11476097 | Common electrostatic chuck for differing substrates | Ramachandran Vinodh; Jupudi Ananthkrishna; Babu Sarath |
11476135 | Robot for simultaneous substrate transfer | Carlson Charles T.; Schaller Jason M.; Bonecutter Luke; Blahnik David |
11476145 | Automatic ESC bias compensation when using pulsed DC bias | Rogers James; Cui Linying; Dorf Leonid |
11476146 | Substrate support assembly with deposited surface features | Boyd, Jr. Wendell Glenn; Parkhe Vijay D.; Kuo Teng-Fang; Ding Zhenwen |
11476202 | Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration | Chen Han-Wen; Verhaverbeke Steven; See Guan Huei; Park Giback; Cellere Giorgio; Tonini Diego; Dicaprio Vincent; Cho Kyuil |
11476267 | Liner for V-NAND word line stack | Wrench Jacqueline S.; Yang Yixiong; Wu Yong; Tang Wei V.; Gandikota Srinivas; Lin Yongjing; Bernal Ramos Karla M; Chen Shih Chung |
11476313 | Methods of fabricating OLED panel with inorganic pixel encapsulating barrier | Choung Ji-young; Haas Dieter; Lin Yu Hsin; Lee Jungmin; Yoo Seong Ho; Kim Si Kyoung |
11476330 | System and technique for creating implanted regions using multiple tilt angles | Chavva Venkataramana R.; Gossmann Hans-Joachim |
11478894 | Polishing fluid additive concentration measurement apparatus and methods related thereto | Wiswell Nicholas Alexander |
11479855 | Spatial wafer processing with improved temperature uniformity | AuBuchon Joseph; Baluja Sanjeev; Kashyap Dhritiman Subha; Lee Jared Ahmad; Ulavi Tejas; Rice Michael |
11479857 | Clean isolation valve for reduced dead volume | Agarwal Ashutosh; Baluja Sanjeev |
11479859 | High temperature vacuum seal | Mustafa Muhannad; Rasheed Muhammad M. |
11480712 | Non-absorptive trans-reflective nanostructured RGB filters | Roy Tapashree; Meyer Timmerman Thijssen Rutger; Visser Robert Jan |
11480724 | Variable height slanted grating method | Evans Morgan; Meyer Timmerman Thijssen Rutger |
11480865 | Method and apparatus to improve EUV mask blank flatness | Jindal Vibhu; Bhat Sanjay; Xiao Wen; Ramachandran Vinodh |
11480866 | Method and apparatus to anneal EUV mask blank | Yoong Herng Yau; Xiao Wen; Gautam Ribhu; Bhat Sanjay; Jindal Vibhu |
11482397 | High output ion source, ion implanter, and method of operation | Chaney Craig Richard; Sinclair Frank; Tieger Daniel R. |
11482402 | Methods and apparatus for processing a substrate | Dorf Leonid; Dhindsa Rajinder; Luere Olivier; Kamenetskiy Evgeny |
11482432 | Method and apparatus for semiconductor processing | Sanchez Errol Antonio C. |
11482444 | High temperature micro-zone electrostatic chuck | Parkhe Vijay D. |
11482562 | Methods for forming image sensors | Chen Taichou Papo |
11484987 | Maintenance methods for polishing systems and articles related thereto | Gadgil Shantanu Rajiv; Patankar Sumit Subhash; Davis Nathan Arron; Coughlin Michael J.; D'Ambra Allen L. |
11486038 | Asymmetric injection for better wafer uniformity | Shono Eric Kihara; Pandey Vishwas Kumar; Olsen Christopher S.; Shah Kartik; Lo Hansel; Kaufman-Osborn Tobin; George Rene; Hawrylchak Lara; Hansen Erika |
11486927 | Bode fingerprinting for characterizations and failure detections in processing chamber | Zhang Tao; Ummethala Upendra V.; Balakrishna Ajit |
11487058 | Method for manufacturing optical device structures | Colak Levent; Godet Ludovic; Labonte Andre P. |
11487139 | Nanostructures for optical devices | Roy Tapashree; McMillan Wayne; Meyer Timmerman Thijssen Rutger |
11487304 | Process fluid path switching in recipe operations | Sanghvi Mitesh; Shankaramurthy Venkatanarayana; Standish Peter; Baryshnikov Anton; Kril Thorsten; Neema Chahal; Jamakhandi Vishal Suresh; Kangude Abhijit Ashok |
11487848 | Process abnormality identification using measurement violation analysis | Nahas Selim; Dox Joseph James; Ragam Vishali; Warren Eric J.; Wang Shijing; Largo Charles; Reeves Christopher; Corral Randy Raynaldo |
11488796 | Thermal break for high-frequency antennae | Chua Thai Cheng; Nguyen Hanh; Kraus Philip Allan |
11488806 | L-motion slit door for substrate processing chamber | Noorbakhsh Hamid |
11488811 | Chucking process and system for substrate processing chambers | Kumar Bhaskar; Balasubramanian Ganesh; Shah Vivek Bharat; Zhao Jiheng |
11488812 | Method and apparatus for reducing particle defects in plasma etch chambers | Wang Xikun; Nguyen Andrew; Lee Changhun; He Xiaoming; Shen Meihua |
11488830 | Oxygen free deposition of platinum group metal films | Yang Yixiong; Tang Wei V.; Ganguli Seshadri; Yu Sang Ho; Liu Feng Q.; Anthis Jeffrey W.; Thompson David; Wrench Jacqueline S.; Yoshida Naomi |
11488835 | Systems and methods for tungsten-containing film removal | Cui Zhenjiang; Reddy Rohan Puligoru; Wang Anchuan |
11488852 | Methods and apparatus for reducing high voltage arcing in semiconductor process chambers | Husain Anwar; Noorbakhsh Hamid; Ramaswamy Kartik |
11488856 | Methods for gapfill in high aspect ratio structures | Manna Pramit; Godet Ludovic; Cheng Rui; Chen Erica; Duan Ziqing; Mallick Abhijit Basu; Gandikota Srinivas |
11488935 | Scalable network-on-package for connecting chiplet-based designs | Zaman Naveed; Shak Myron; Suri Tameesh; Sheikh Bilal Shafi |
11489105 | Physical vapor deposition of piezoelectric films | Sangle Abhijeet Laxman; Sharma Vijay Bhan; Kadam Ankur; Ramakrishnan Bharatwaj; Sivaramakrishnan Visweswaren; Xue Yuan |
11489110 | Methods for treating magnesium oxide film | Wang Xiaodong; Whig Renu; Lei Jianxin; Wang Rongjun |
11490466 | Melt depth determination using infrared interferometric technique in pulsed laser annealing | Li Jiping |
11492697 | Apparatus for improved anode-cathode ratio for rf chambers | Savandaiah Kirankumar Neelasandra; Miller Keith A.; Yedla Srinivasa Rao; Kenchappa Chandrashekar; Riker Martin Lee |
11492698 | Optically transparent pedestal for fluidly supporting a substrate | Aderhold Wolfgang R.; Mayur Abhilash J. |
11492699 | Substrate temperature non-uniformity reduction over target life using spacing compensation | Bangalore Umesh Suhas; Rao Preetham; Pethe Shirish A.; Zhang Fuhong; Kalathiparambil Kishor Kumar; Riker Martin Lee; Zhong Lanlan |
11492704 | Chamber injector | Lau Shu-Kwan; Lam Lit Ping; Rao Preetham; Shah Kartik; Ong Ian; Myo Nyi O.; Burrows Brian H. |
11492705 | Isolator apparatus and methods for substrate processing chambers | Pathak Nitin; Bansal Amit Kumar; Nguyen Tuan Anh; Rubio Thomas; Ramamurthi Badri N.; Rocha-Alvarez Juan Carlos |
11493841 | Glass ceramic for ultraviolet lithography and method of manufacturing thereof | Hofmann Ralf; Foad Majeed A.; Beasley Cara |
11495430 | Tunable extraction assembly for wide angle ion beam | Wallace Jay R.; Biloiu Costel; Daniels Kevin M. |
11495440 | Plasma density control on substrate edge | Kumar Bhaskar; Kothnur Prashanth; Bhatia Sidharth; Singh Anup Kumar; Shah Vivek Bharat; Balasubramanian Ganesh; Wang Changgong |
11495454 | Deposition of low-stress boron-containing layers | Wang Huiyuan; Kustra Rick; Qi Bo; Mallick Abhijit Basu; Alayavalli Kaushik; Pinson Jay D. |
11495461 | Film stack for lithography applications | Singh Tejinder; Parikh Suketu Arun; Diehl Daniel Lee; Stolfi Michael Anthony; Ramalingam Jothilingam; Cao Yong; Yan Lifan; Lang Chi-I; Hwang Hoyung David |
11495470 | Method of enhancing etching selectivity using a pulsed plasma | Zhou Hailong; Kang Sean; Takeshita Kenji; Dhindsa Rajinder; Lee Taehwan; Kwak Iljo |
11495479 | Light pipe window structure for thermal chamber applications and processes | Ranish Joseph M.; Hunter Aaron Muir; Chang Anzhong |
11495483 | Backside gas leakby for bevel deposition reduction | Parimi Venkata Sharat Chandra; Kedlaya Diwakar |
11495500 | Horizontal GAA nano-wire and nano-slab transistors | Colombeau Benjamin; Gossmann Hans-Joachim |
11495932 | Slip ring for use in rotatable substrate support | Mustafa Muhannad; Chang Yu; Kuang William; Rasheed Muhammad M.; Huo Xiping |
11498213 | Robot joint space graph path planning and move execution | Abdul-hadi Omar; Cranmer Adam Christopher; Freeman Gregory John |
11499223 | Continuous liner for use in a processing chamber | Carducci James D.; Collins Kenneth S.; Ramaswamy Kartik |
11499229 | Substrate supports including metal-ceramic interfaces | Ramalingam Chidambara A.; Rocha Juan Carlos; Polese Joseph M.; Guyomard Katty Marie Lydia Gamon; Li Jian |
11499231 | Lid stack for high frequency processing | Sheng Shuran; Zhang Lin; Werner Joseph C. |
11499666 | Precision dynamic leveling mechanism with long motion capability | Schaller Jason M.; Rohrer Michael P.; Nguyen Tuan Anh |
11499869 | Optical wall and process sensor with plasma facing sensor | Lin Chuang-Chia; Ummethala Upendra |
11501945 | Side inject designs for improved radical concentrations | Shono Eric Kihara; Pandey Vishwas Kumar; Olsen Christopher S.; Lo Hansel; Tjandra Agus Sofian; Kim Taewan; Kaufman-Osborn Tobin |
11501954 | Dogbone inlet cone profile for remote plasma oxidation chamber | Pandey Vishwas Kumar; Shah Kartik; Olsen Christopher S.; Tjandra Agus Sofian; Lo Hansel; Shono Eric Kihara; Raju Hemantha |
11501955 | Modular high-frequency source with integrated gas distribution | Nguyen Hanh; Chua Thai Cheng; Kraus Philip Allan |
11501957 | Pedestal support design for precise chamber matching and process control | Krishna Gopu; Polyak Alexander S.; Baluja Sanjeev |
11501972 | Sacrificial capping layer for passivation using plasma-based implant process | Bhosle Vikram M.; Bateman Nicholas P. T.; Miller Timothy J.; Lee Jun Seok; Mittal Deven Raj |
11501986 | Wafer profiling for etching system | Cheung Jeffrey Chi; Ghekiere John; Leonhard Jerry D.; Surdock David P.; Shafer Benjamin; Young Ray |
11501993 | Semiconductor substrate supports with improved high temperature chucking | Li Jian; Rocha-Alvarez Juan Carlos; Ye Zheng John; Benjamin Raj Daemian Raj; Srivastava Shailendra; Han Xinhai; Padhi Deenesh; Hu Kesong; Wang Chuan Ying |
11504821 | Predictive filter for polishing pad wear rate monitoring | Dhandapani Sivakumar; Qian Jun |
11505863 | Methods for forming films on substrates | Lerner Alexander N.; Shaviv Roey; Kothnur Prashanth; Radhakrishnan Satish; Che Xiaozhou |
11507824 | Training spectrum generation for machine learning system for spectrographic monitoring | Cherian Benjamin; Wiswell Nicholas; Qian Jun; Osterheld Thomas H. |
11508554 | High voltage filter assembly | Mishra Anurag Kumar; Rogers James; Dorf Leonid; Dhindsa Rajinder; Luere Olivier |
11508558 | Thermal repeatability and in-situ showerhead temperature monitoring | Franklin Timothy Joseph; Babayan Steven E.; Kraus Philip Allan |
11508563 | Methods and apparatus for processing a substrate using improved shield configurations | Jembulingam Soundarrajan; Chen Jian Janson; Oh Jeonghoon |
11508584 | Deuterium-containing films | Seutter Sean M.; Park Mun Kyu; Le Hien M; Chuang Chih-Chiang |
11508593 | Side storage pods, electronic device processing systems, and methods for operating the same | Reuter Paul B.; Hruzek Dean C. |
11508595 | Apparatus and method for contactless transportation of a device in a vacuum processing system | Aust Henning; Schuler Jörg |
11508610 | Substrate support with edge seal | Ke Chang; Chia Bonnie; Suh Song-Moon; Tsai Cheng-Hsiung; Guo Yuanhong; Zhou Lei; Langtry David |
11508611 | Enhanced lift pin design to eliminate local thickness non-uniformity in teos oxide films | Ghosh Kalyanjit; Kulkarni Mayur G.; Baluja Sanjeev; Jha Praket P.; Nittala Krishna |
11508617 | Method of forming interconnect for semiconductor device | Jiang Hao; Lu Chi; Ren He; Lang Chi-I; Hwang Ho-yung David; Naik Mehul |
11508618 | Multicolor self-aligned contact selective etch | Lin Yung-Chen; Zhou Qingjun; Zhang Ying; Hwang Ho-yung David |
11508828 | Selective silicon etch for gate all around transistors | Stolfi Michael; Kim Myungsun; Colombeau Benjamin; Natarajan Sanjay |
11508988 | Lithium anode device stack manufacturing | Herle Subramanya P. |
11511388 | Polishing system with support post and annular platen or polishing pad | Butterfield Paul D.; Osterheld Thomas H.; Oh Jeonghoon; Chang Shou-Sung; Zuniga Steven M.; Redeker Fred C. |
11511390 | Pivotable substrate retaining ring | Zuniga Steven M.; Gurusamy Jay; Nagengast Andrew J. |
11511950 | Substrate flipping device | Phan Michael Minh; Tindel Steven Trey; Reuter Paul Benjamin |
11512385 | Method of forming gratings | Olson Joseph C.; Godet Ludovic; Meyer Timmerman Thijssen Rutger; Evans Morgan; Fu Jinxin |
11512387 | Methods and apparatus for passivating a target | Du Chao; Chen Xing; Miller Keith A.; Ramalingam Jothilingam; Lei Jianxin |
11512391 | Process kit for a high throughput processing chamber | Ghosh Kalyanjit; Kulkarni Mayur G.; Baluja Sanjeev; Chuc Kien N.; Kim Sungjin; Wang Yanjie |
11513437 | Extreme ultraviolet mask absorber materials | Liu Shiyu; Liu Shuwei; Jindal Vibhu |
11513504 | Characterizing and monitoring electrical components of manufacturing equipment | Paul David John |
11515129 | Radiation shield modification for improving substrate temperature uniformity | Neville Elizabeth; Radhakrishnan Satish; Shah Kartik; Prabhakar Vinay; Parimi Venkata Sharat Chandra; Ha Sungwon |
11515130 | Fast response pedestal assembly for selective preclean | Hawrylchak Lara; Prasad Chaitanya A. |
11515132 | Physical vapor deposition processing systems target cooling | Bhat Sanjay; Jindal Vibhu; Pandey Vishwas Kumar |
11515144 | In-situ film annealing with spatial atomic layer deposition | Tanaka Keiichi; Short Andrew; Sriram Mandyam; Gandikota Srinivas |
11515145 | Deposition of silicon boron nitride films | Yang Chuanxi; Yu Hang; Padhi Deenesh |
11515149 | Deposition of flowable silicon-containing films | Kalutarage Lakmal C.; Saly Mark; Thompson David; Mallick Abhijit Basu; Ashok Tejasvi; Manna Pramit |
11515150 | Hardmask tuning by electrode adjustment | Tsiang Michael Wenyoung; Khaja Abdul Aziz; Xia Li-Qun; Hsiao Kevin; Hu Liangfa; Cheng Yayun |
11515151 | Methods and precursors for selective deposition of metal films | Fredrickson Kurt; Basu Atashi; Balseanu Mihaela; Li Ning |
11515154 | Selective deposition of a passivation film | Leoncini Andrea; Wang Yong; Yong Doreen Wei Ying |
11515155 | Methods for enhancing selectivity in SAM-based selective deposition | Ke Chang; Jackson Michael S.; Wu Liqi; Zhou Lei; Zhang Shuyi; Thompson David; Ma Paul F.; Liu Biao; Pan Cheng |
11515156 | Methods for depositing blocking layers on conductive surfaces | Bhuyan Bhaskar Jyoti; Saly Mark; Liu Wenyi |
11515163 | Low temperature graphene growth | Wang Jialiang; Singha Roy Susmit; Mallick Abhijit Basu; Ingle Nitin K. |
11515166 | Cryogenic atomic layer etch with noble gases | Garcia De Gorordo Alvaro; Yao Zhonghua; Srinivasan Sunil; Park Sang Wook |
11515170 | 3D NAND etch | Jiang Shishi; Manna Pramit; Qi Bo; Mallick Abhijit Basu; Cheng Rui; Kitajima Tomohiko; Whitesell Harry S.; Wang Huiyuan |
11515176 | Thermally controlled lid stack components | Chandrasekar Siva; Radhakrishnan Satish; Hiriyannaiah Rajath Kumar Lakkenahalli; Kalsekar Viren; Prabhakar Vinay |
11515179 | Semiconductor processing chamber multistage mixing apparatus | Samir Mehmet Tugrul; Yang Dongqing |
11515191 | Graded dimple height pattern on heater for lower backside damage and low chucking voltage | Shah Vivek B.; Kumar Bhaskar; Balasubramanian Ganesh |
11515195 | Semiconductor chamber components with high-performance coating | Kalita Laksheswar |
11515200 | Selective tungsten deposition within trench structures | Xu Yi; Hu Yufei; Ren He; Lei Yu; You Shi; Daito Kazuya |
11515207 | Methods of forming metal chalcogenide pillars | Mullick Amrita B.; Gandikota Srinivas |
11515218 | Thermal profile monitoring wafer and methods of monitoring temperature | Jadhav Deepak |
11515324 | 3D NAND structures with decreased pitch | Kwon Thomas; Han Xinhai |
11518097 | Selective powder dispenser configurations for additive manufacturing | Zehavi Raanan; Ng Hou T.; Patibandla Nag B.; Joshi Ajey M. |
11518100 | Additive manufacturing with a polygon scanner | Lei Wei-Sheng; Chidambaram Mahendran; Sivaramakrishnan Visweswaren; Maqsood Kashif |
11519066 | Nitride protective coatings on aerospace components and methods for making the same | Britz David Alexander; Scudder Lance A.; Melnik Yuriy; Chatterjee Sukti |
11519071 | Method for fabricating chamber parts | Peng Gang Grant; Groechel David W.; Wang Han |
11519773 | Methods, systems, and apparatus for mass flow verification based on choked flow | Brashear Kevin M.; Ye Zhiyuan; Hough Justin; Rajaram Jaidev; Josephson Marcel E.; Okada Ashley M. |
11520358 | Gas-pulsing-based shared precursor distribution system and methods of use | Rice Michael; AuBuchon Joseph; Baluja Sanjeev; Okada Ashley M.; Fernandez Alexander; Xu Ming; Josephson Marcel E.; Koshti Sushant Suresh; Le Kenneth; Brashear Kevin M. |
11521828 | Inductively coupled plasma source | Rogers James; Poulose John |
11521830 | Ceramic coated quartz lid for processing chamber | Hwang Bernard L. |
11521838 | Integrated cleaning process for substrate etching | Zhou Yi; Ahn Seul Ki; Son Seung-Young; Chang Li-Te; Srinivasan Sunil; Dhindsa Rajinder |
11521839 | Inline measurement of process gas dissociation using infrared absorption | Gopalan Ramesh; Salimian Siamak |
11521849 | In-situ deposition process | Park Sang Wook; Srinivasan Sunil; Dhindsa Rajinder; Kim Jonathan Sungehul; Yu Lin; Yao Zhonghua; Luere Olivier |
11521870 | Annealing chamber | Kamesh Giridhar |
11521872 | Method and apparatus for measuring erosion and calibrating position for a moving process kit | Potter Charles G.; Mor Eli; Lopez Carbajal Sergio |
11521935 | Package structure and fabrication methods | Chen Han-Wen; Verhaverbeke Steven; Park Giback; Cellere Giorgio; Tonini Diego; Dicaprio Vincent; Cho Kyuil |
11521937 | Package structures with built-in EMI shielding | Verhaverbeke Steven; Chen Han-Wen; Park Giback; Buch Chintan |
11522126 | Magnetic tunnel junctions with protection layers | Xue Lin; Ahn Jaesoo; Patel Sahil; Park Chando; Pakala Mahendra |
11524382 | Polishing apparatus using machine learning and compensation for pad thickness | Xu Kun; Ivanov Denis; Lee Harry Q.; Qian Jun |
11524384 | Abrasive delivery polishing pads and manufacturing methods thereof | Chockalingam Ashwin; Bajaj Rajeev; Kumar Ashavani; Redfield Daniel |
11524392 | Minimal contact gripping of thin optical devices | Ahamed Yaseer Arafath; Wang Kangkang; Riordon Benjamin B.; Strassner James D.; Godet Ludovic |
11524455 | Removable unit for selective powder delivery for additive manufacturing | Zehavi Raanan; Ng Hou T.; Patibandla Nag B.; Joshi Ajey M. |
11527407 | Vapor deposition of carbon-based films | Bhuyan Bhaskar Jyoti; Leoncini Andrea |
11527408 | Multiple spacer patterning schemes | Yang Tzu-shun; Cheng Rui; Janakiraman Karthik; Huang Zubin; Kedlaya Diwakar; Gupta Meenakshi; Guggilla Srinivas; Lin Yung-chen; Oshio Hidetaka; Li Chao; Lee Gene |
11527412 | Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices | Zhang Qintao; Hong Samphy; Lee David J.; Levitov Felix; Zhong Lei; Zou Wei |
11527414 | Methods for etching structures with oxygen pulsing | Fung Nancy; Alva Gabriela |
11527424 | Substrate transfer systems and methods of use thereof | Berger Alex; Hudgens Jeffrey; Englhardt Eric |
11527429 | Substrate support assembly for high temperature processes | Parkhe Vijay D. |
11527437 | Methods and apparatus for intermixing layer for enhanced metal reflow | Zhong Lanlan; Zhang Fuhong; Shen Gang; Chen Feng; Li Rui; Xie Xiangjin; Ha Tae Hong; Tang Xianmin |
11527637 | Ion implantation to control formation of MOSFET trench-bottom oxide | Zhang Qintao; Hong Samphy |
11527732 | OLED anode structures including amorphous transparent conducting oxides and OLED processing method comprising the same | Chen Chung-Chia; Lin Yu-Hsin; Lee Jungmin; Kato Takuji; Haas Dieter; Kim Si Kyoung; Choung Ji Young |
11529592 | Gas injector with baffle | Pandey Vishwas Kumar; Hawrylchak Lara; Shono Eric Kihara; Shah Kartik; Olsen Christopher S.; Tallavarjula Sairaju; Pradhan Kailash; George Rene; Swenberg Johanes F.; Moffatt Stephen |
11530477 | Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films | Leoncini Andrea; Mehlmann Paul; Dordevic Nemanja; Huynh Han Vinh; Yong Doreen Wei Ying |
11530478 | Method for forming a hydrophobic and icephobic coating | Bajaj Rajeev; Chang Mei; Padhi Deenesh |
11530480 | Injector for batch processing and methods of use | Yudovsky Joseph; Griffin Kevin; Sriram Mandyam |
11530482 | Faceplate having a curved surface | Srivastava Shailendra; Addepalli Sai Susmita; Jorapur Nikhil Sudhindrarao; Benjamin Raj Daemian Raj; Bansal Amit Kumar; Rocha-Alvarez Juan Carlos; Chichkanoff Gregory Eugene; Han Xinhai; Ogata Masaki; Enslow Kristopher; Wang Wenjiao |
11530751 | Closure mechanism vacuum chamber isolation device and sub-system | Nguyen Tuan Anh |
11532418 | RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus | Kudela Jozef; Sorensen Carl A.; White John M. |
11532462 | Method and system for cleaning a process chamber | Ghosh Kalyanjit; Srivastava Shailendra; Ulavi Tejas; Zhou Yusheng; Bansal Amit Kumar; Baluja Sanjeev |
11532463 | Semiconductor processing chamber and methods for cleaning the same | Pandey Vishwas Kumar; Prabhakar Vinay K.; Afzal Bushra; Ramamurthi Badri N.; Rocha-Alvarez Juan Carlos |
11532464 | Reactor design for large-area VHF plasma processing with improved uniformity | Sheng Shuran; Abe Shinobu; Kuwahara Keita; Shin Chang Hee; Cho Su Ho |
11532466 | Part-life estimation utilizing feature metrology | Liao Chien-Min; Yang Yao-Hung; Cho Tom K.; Salimian Siamak; Yang Hsiu; Chen Chun-Chung |
11532474 | Deposition of rhenium-containing thin films | Knisley Thomas; Woods Keenan N.; Saly Mark; Winter Charles H.; Cwik Stefan |
11532497 | High power electrostatic chuck design with radio frequency coupling | Cho Jaeyong; Parkhe Vijay D.; Wang Haitao; Ramaswamy Kartik; Zhang Chunlei |
11532525 | Controlling concentration profiles for deposited films using machine learning | Baryshnikov Anton V; Aydin Aykut; Huang Zubin; Cheng Rui; Yang Yi; Kedlaya Diwakar; Shankaramurthy Venkatanarayana; Nittala Krishna; Janakiraman Karthik |
11532808 | Pre-lithiation process for electrode by dry direct contact to lithium targets | Brevnov Dmitri A. |
11533783 | Multi-zone heater model-based control in semiconductor manufacturing | Cimino Mauro; Kaluarachchi Don Channa; Phi Son; Vishnuprasad Ramyashree; Lubomirsky Dmitry |
11536708 | Methods to fabricate dual pore devices | Saly Mark J.; Woods Keenan Navarre; Johnson Joseph R.; Bhuyan Bhaskar Jyoti; Durand William J.; Chudzik Michael; Sreenivasan Raghav; Quon Roger |
11537040 | Extreme ultraviolet mask blank hard mask materials | Liu Shuwei; Xiao Wen; Jindal Vibhu; Zerrade Azeddine |
11537151 | Multi-channel flow ratio controller and processing chamber | Bauer Matthias |
11538654 | Thermally isolated captive features for ion implantation systems | McLaughlin Adam M.; Tye Jordan B. |
11538663 | Methods and apparatus for processing a substrate | Poulose John; Ramaswamy Kartik |
11538677 | Systems and methods for depositing high density and high tensile stress films | Yang Chuanxi; Yu Hang; Yang Yu; Wang Chuan Ying; Yau Allison; Han Xinhai; Kamath Sanjay G.; Padhi Deenesh |
11538706 | System and method for aligning a mask with a substrate | Lerner Alexander N.; Karazim Michael P.; Constant Andrew J.; Brodine Jeffrey A.; Vellore Kim Ramkumar; Moraes Kevin; Shaviv Roey |
11538714 | System apparatus and method for enhancing electrical clamping of substrates using photo-illumination | Chen Qin; Blake Julian G.; Osborne Michael W.; Anella Steven M.; Fischer Jonathan D. |
11538925 | Ion implantation to form step-oxide trench MOSFET | Gu Sipeng; Zheng Yi; Zhang Qintao; Hautala John |
11540432 | Ultrathin conformal coatings for electrostatic dissipation in semiconductor process tools | Natu Gayatri; Bajaj Geetika; Goradia Prerna; Thakare Darshan; Fenwick David; He XiaoMing; Seppaelae Sanni; Sun Jennifer; Thanu Rajkumar; Hudgens Jeff; Muthukamatchy Karuppasamy; Dhayalan Arun |
转载本文请联系原作者获取授权,同时请注明本文来自陈立新科学网博客。
链接地址:https://wap.sciencenet.cn/blog-681765-1412399.html?mobile=1
收藏