陈立新
2021年半导体制造领域在欧专利的布局与竞争——英特尔公司、法国原子能委员会、京东方科技集团公司领先
2022-10-21 17:49
阅读:4904

陈立新 张琳 黄颖:中美欧日韩五局专利报告2785.doc

武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖

微信号:chenlixinip5

第三部分 2021年欧洲专利统计分析报告

28 各领域的欧洲专利布局和竞争

28.38 半导体制造领域的欧洲专利竞争态势

38个技术领域是半导体制造,包括半导体及其部件的制造方法和设备。2021年,欧洲专利局在该领域共授权专利767项(增长率为-23%),占总授权量的0.7%,是专利数量第49多的领域。

2021年,美国在该领域获得专利权249项,占该领域专利授权总量的32.5%。中国在该领域做出专利发明52项,获得专利权51项。日本和韩国获得的专利权数量分别为18923项。

 

28.38-1  2021年各国半导体制造领域的在欧专利发明和专利权数量


国家

和地区

发明

数量

专利权

数量

净流失

数量

专利

流失率

发明

份额

专利权

份额

份额

流失量

1

美国

240

249

-9

-3.7%

31.3%

32.5%

-1.2%

2

德国

67

65

2

3.0%

8.7%

8.5%

0.3%

3

日本

191

189

2

1.0%

24.9%

24.6%

0.3%

4

法国

67

71

-4

-6.0%

8.7%

9.3%

-0.5%

5

中国

52

51

1

1.9%

6.8%

6.6%

0.1%

6

韩国

22

23

-1

-4.5%

2.9%

3.0%

-0.1%

7

英国

15

10

5

33.3%

2.0%

1.3%

0.7%

8

意大利

10

9

1

10.0%

1.3%

1.2%

0.1%

9

荷兰

12

11

1

8.3%

1.6%

1.4%

0.1%

10

瑞士

12

9

3

25.0%

1.6%

1.2%

0.4%

11

瑞典

3

2

1

33.3%

0.4%

0.3%

0.1%

12

加拿大

4

3

1

25.0%

0.5%

0.4%

0.1%

13

以色列

4

1

3

75.0%

0.5%

0.1%

0.4%

14

印度

1

1

0

0.0%

0.1%

0.1%

0.0%

15

其他

67

73

-6

-9.0%

8.7%

9.5%

-0.8%


小计

767

767

0

0%

100%

100%

0%

注:本表分别按照专利第一发明人和第一权利人进行统计(中国的数据暂未包含香港、澳门、台湾地区的专利)。

 

 



28.38-1  2021年各国半导体制造领域的在欧专利发明和专利权数量对比

 

2021年,在半导体制造领域上获得欧洲专利授权最多的机构是英特尔公司、法国原子能委员会、京东方科技集团公司。

 

28.38-2  2021年半导体制造领域在欧专利授权前10机构


机构名称

国家

机构英文名称

2021

1

英特尔公司

美国

INTEL CORP

32

2

法国原子能委员会

法国

COMMISSARIAT ENERGIE ATOMIQUE

25

3

京东方科技集团公司

中国

BOE TECHNOLOGY GROUP CO LTD

22

4

微电子研究中心

比利时

IMEC VZW

18

5

村田机械株式会社

日本

MURATA MACHINERY LTD

16

6

硅绝缘体技术有限公司

法国

SOITEC SILICON ON INSULATOR

15

7

应用材料有限公司

美国

APPLIED MATERIALS INC

15

8

科锐公司

美国

CREE INC

13

9

美光科技公司

美国

MICRON TECHNOLOGY INC

12

10

科天公司

美国

KLA TENCOR CORP

12

注:本表数据按照第一权利人进行统计。

 


28.38-2  2021年半导体制造领域在欧专利授权前10机构

 

 

 

 

 

致谢

感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。

如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。

微信号:chenlixinip5

 

 

附表  2021年该领域欧洲授权专利

PATENT NO.TITLEASSIGNEE
EP1398836Thin film   semiconductor device and manufacturing methodNEC CORP
EP1544163Method for producing   semiconductor device and a semiconductor device, more especially a membrane   sensorBOSCH GMBH ROBERT
EP1739483Positive   photosensitive composition and pattern forming method using the sameFUJI PHOTO FILM CO   LTD
EP1775760WAFER PROCESSING TAPEFURUKAWA ELECTRIC CO   LTD
EP1815530FIELD EFFECT   TRANSISTOR EMPLOYING AN AMORPHOUS OXIDECANON KK
EP1878043LOW-DIELECTRIC   CONSTANT CRYPTOCRYSTAL LAYERS AND NANOSTRUCTURESTUBITAK
EP1894234SUBSTRATE STIFFNESS   METHOD AND RESULTING DEVICES FOR LAYER TRANSFER PROCESSESSILICON GENESIS CORP
EP1917132PLASTIC SEMICONDUCTOR   PACKAGE HAVING IMPROVED CONTROL OF DIMENSIONSTEXAS INSTRUMENTS INC
EP1974373METHODS OF   FABRICATING TRANSISTORS INCLUDING SUPPORTED GATE ELECTRODES AND RELATED   DEVICESCREE INC
EP1981063PROCESS FOR PRODUCING   SOI WAFER AND SOI WAFERSHINETSU CHEMICAL CO
EP1981064PROCESS FOR PRODUCING   SOI WAFER AND SOI WAFERSHINETSU CHEMICAL CO
EP1994553GATE-COUPLED EPROM   CELL FOR PRINTHEADHEWLETT PACKARD   DEVELOPMENT CO
EP2050132APPARATUS FOR STORAGE   OF OBJECTS FROM THE FIELD OF MANUFACTURE OF ELECTRONIC COMPONENTSTEC SEM AG
EP2095405SOLAR CELLSELKEM SOLAR AS
EP2096673Display device and   manufacturing method thereofHITACHI DISPLAYS LTD
EP2195831A METHOD OF   MANUFACTURING A SEMICONDUCTOR DEVICE AND THE CORRESPONDING INTERMEDIATE   PRODUCTMICRON TECHNOLOGY INC
EP2214272METHOD FOR   FABRICATING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, COMMUNICATION   APPARATUS, AND SEMICONDUCTOR LASERFURUKAWA ELECTRIC CO   LTD
EP2242095SEMICONDUCTOR DEVICE   AND ITS MANUFACTURING METHODFUJITSU SEMICONDUCTOR   LTD
EP2242101Receive circuit for   connectors with variable complex impedanceORACLE AMERICA INC
EP2254146Semiconductor   structure and method of manufacturing a semiconductor structureCOMMISSARIAT ENERGIE   ATOMIQUE
EP2264741Silicon carbide   dimpled substrateCREE INC
EP2267527Pellicle frame and   lithographic pellicleSHINETSU CHEMICAL CO
EP2296177SUBSTRATE FOR POWER   MODULE, POWER MODULE, AND METHOD FOR PRODUCING SUBSTRATE FOR POWER MODULEMITSUBISHI MATERIALS   CORP
EP2298509CARRIER DEVICE,   POSITION-TEACHING METHOD, AND SENSOR JIGRORZE CORP
EP2333814Auto-sequencing   multi-directional inline processing apparatusORBOTECH LT SOLAR LLC
EP2351872TREATMENT METHOD   USING PLASMATOKYO INST TECH
EP2357059Method for chemical   mechanical planarization of a tungsten-containing substrateDUPONT AIR PROD   NANOMATERIALS
EP2358926ELECTRODEPOSITION OF   COPPER IN MICROELECTRONICS WITH DIPYRIDYL-BASED LEVELERSENTHONE
EP2372749Treatment device for   treating a surface of a bodyLEVITRONIX GMBH
EP2382661INTEGRATED ELECTRONIC   DEVICE WITH TRANSCEIVING ANTENNA AND MAGNETIC INTERCONNECTIONST MICROELECTRONICS   SRL
EP2387796SYSTEM AND METHOD FOR   INSPECTING A WAFERSEMICONDUCTOR TECH   & INSTR INC
EP2393109Semiconductor   components including conductive through-wafer viasMICRON TECHNOLOGY INC
EP2396808MIGRATION AND PLASMA   ENHANCED CHEMICAL VAPOR DEPOSITIONBUTCHER KENNETH SCOTT   ALEXANDER
EP2402982Method for   manufacturing thin film capacitor and thin film capacitor obtained by the   sameST MICROELECTRONICS   TOURS SAS
EP2404316THRESHOLD VOLTAGE   ADJUSTMENT THROUGH GATE DIELECTRIC STACK MODIFICATIONIBM
EP2406816METHOD FOR   FABRICATING SEMICONDUCTOR COMPONENTS USING MASKLESS BACK SIDE ALIGNMENT TO   CONDUCTIVE VIASMICRON TECHNOLOGY INC
EP2416349Method of forming   vias in silicon carbide and resulting devices and circuitsCREE INC
EP2416629PULSE-MODULATED   HIGH-FREQUENCY POWER CONTROL METHOD AND PULSE-MODULATED HIGH-FREQUENCY POWER   SOURCE DEVICEKYOSAN ELECTRIC MFG
EP2419929WAFER MANUFACTURING   CLEANING APPARATUS, PROCESS AND METHOD OF USEINTERNAT TEST   SOLUTIONS
EP2432009PURGING APPARATUS AND   PURGING METHODMURATA MACHINERY LTD
EP2444994WAFER PROCESSING   SHEETLG CHEMICAL LTD
EP2456904METHOD FOR PRODUCING   A STRUCTURED COATING ON A SUBSTRATE, COATED SUBSTRATE, AND SEMI-FINISHED   PRODUCT HAVING A COATED SUBSTRATEMSG LITHOGLAS AG
EP2458620Fabrication of   graphene electronic devices using step surface contourIHP GMBH
EP2478563SEMICONDUCTOR DEVICE   AND METHOD FOR MANUFACTURING THE SAMESEMICONDUCTOR ENERGY   LAB
EP2483919NANOSCALE   INTERCONNECTS FABRICATED BY ELECTRICAL FIELD DIRECTED ASSEMBLY OF   NANOELEMENTSUNIV NORTHEASTERN
EP2492963Nitride-based   transistors with a protective layer and a low-damage recess and methods of   fabrication thereofCREE INC
EP2497109PACKAGE   CONFIGURATIONS FOR LOW EMI CIRCUITSTRANSPHORM INC
EP2517226METHOD AND DEVICE FOR   TREATING SILICON SUBSTRATESSCHMID GMBH GEBR
EP2518764Group III nitride   based flip-chip integrated circuit and method for fabricatingCREE INC
EP2525391Method for producing   ferroelectric thin filmMITSUBISHI MATERIALS   CORP
EP2534690SYSTEMS AND METHODS   FOR A CONTINUOUS-WELL DECOUPLING CAPACITORADVANCED MICRO   DEVICES INC
EP2538444SILICON CARBIDE   INSULATED GATE SEMICONDUCTOR ELEMENT AND METHOD FOR PRODUCING SAMESUMITOMO ELECTRIC   INDUSTRIES
EP2539104METHOD AND APPARATUS   FOR IRRADIATING A SEMICONDUCTOR MATERIAL SURFACE BY LASER ENERGYEXCICO FRANCE
EP2543060SYSTEMS AND METHODS   FOR APPLICATION OF OPTICAL MATERIALS TO OPTICAL ELEMENTSCREE INC
EP2543072STRUCTURES AND   METHODS OF FABRICATING DUAL GATE DEVICESVISHAY SILICONIX
EP2551891SEMICONDUCTOR DEVICE   AND METHOD FOR PRODUCING SAMESUMITOMO ELECTRIC   INDUSTRIES
EP2572380METHOD FOR PRODUCING   CHIP STACKS, AND A CARRIER FOR CARRYING OUT THE METHODEV GROUP E THALLNER   GMBH
EP2585628INCLUSION OF CHIP   ELEMENTS IN A SHEATHED WIRECOMMISSARIAT ENERGIE   ATOMIQUE
EP2587531CURRENT CONTROL   SEMICONDUCTOR DEVICE AND CONTROL APPARATUS USING SAMEHITACHI AUTOMOTIVE   SYSTEMS LTD
EP2596520MICROELECTRONIC   ELEMENTS WITH REAR CONTACTS CONNECTED WITH VIA FIRST OR VIA MIDDLE STRUCTURESTESSERA INC
EP2596529STACKABLE MOLDED   MICROELECTRONIC PACKAGESTESSERA INC
EP2601675MULTI-LAYER OVERLAY   METROLOGY TARGET AND COMPLIMENTARY OVERLAY METROLOGY MEASUREMENT SYSTEMSKLA TENCOR CORP
EP2612156MODULAR SCANNER, AND   METHOD FOR OPERATING SAMECASCADE MICROTECH INC
EP2612355RAMP-STACK CHIP   PACKAGE AND MANUFATCURE METHODE THEREOFORACLE INT CORP
EP2613375ORGANIC SEMICONDUCTOR   PARTICULATE MATERIAL, ORGANIC SEMICONDUCTOR THIN-FILM, DISPERSION LIQUID FOR   FORMING ORGANIC SEMICONDUCTOR FILM, METHOD FOR PRODUCING ORGANIC   SEMICONDUCTOR THIN-FILM, AND ORGANIC THIN-FILM TRANSISTORUNIV SHINSHU
EP2620981Transistors and   methods of manufacturing the sameSAMSUNG ELECTRONICS   CO LTD
EP2624283SAPPHIRE SUBSTRATE   AND SEMICONDUCTOR LIGHT-EMITTING ELEMENTNICHIA CORP
EP2624291Device for processing   a substrate and method for thisSOLAR SEMI GMBH
EP2626898Sealant laminated   composite, sealed semiconductor devices mounting substrate, sealed   semiconductor devices forming wafer, semiconductor apparatus, and method for   manufacturing semiconductor apparatusSHINETSU CHEMICAL CO
EP2626908SOLAR CELL ELEMENT   AND METHOD FOR MANUFACTURING SAMESHOEI CHEMICAL IND CO
EP2636069SEMICONDUCTOR CHIP   CARRIERS WITH MONOLITHICALLY INTEGRATED QUANTUM DOT DEVICES AND METHOD OF   MANUFACTURE THEREOFDE ROCHEMONT L PIERRE
EP2637197Direct bonding   process using a compressible porous layerCOMMISSARIAT ENERGIE   ATOMIQUE
EP2639828Circuit support   assemblyBOSCH GMBH ROBERT
EP2642520Embedded flash memoryBROADCOM CORP
EP2645410Device for processing   wafersEV GROUP GMBH
EP2647043METHOD FOR TREATING   WAFERS AND DIESTHIN MATERIALS AG
EP2649639HIGH DENSITY   THREE-DIMENSIONAL INTEGRATED CAPACITORSTESSERA INC
EP2656383SIMULTANEOUS WAFER   BONDING AND INTERCONNECT JOININGTESSERA INC
EP2659506VACUUM TREATMENT   APPARATUS AND A METHOD FOR MANUFACTURINGOC OERLIKON BALZERS   AG
EP2660857Thin-film   photovoltaic deviceFLISOM AG
EP2665088Layered bonded   structures formed from reactive bonding of zinc metal and zinc peroxideBOEING CO
EP2666184IMPROVED INTERFACE   BETWEEN A I-III-VI2 MATERIAL LAYER AND A MOLYBDENUM SUBSTRATENEXCIS
EP2670887ADHESION PROMOTING   COMPOSITION FOR METAL LEADFRAMESMACDERMID ACUMEN INC
EP2672518Semiconductor device   with heterojunctionNISSAN MOTOR
EP2673803POWER SEMICONDUCTOR   MODULEABB RESEARCH LTD
EP2673806SEMICONDUCTOR DEVICE   AND RELATED FABRICATION METHODSFREESCALE   SEMICONDUCTOR INC
EP2675935METHOD FOR PRODUCING   A THIN FILM MADE OF LEAD ZIRCONATE TITANATEPYREOS LTD
EP2680300Semiconductor device   and driver circuit with drain and isolation structure interconnected through   a diode circuit, and method of manufacture thereofFREESCALE   SEMICONDUCTOR INC
EP2688105High electron   mobility transistors and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO LTD
EP2696365Semiconductor buffer   structure, semiconductor device including the same, and method of   manufacturing semiconductor device using semiconductor buffer structureSAMSUNG ELECTRONICS   CO LTD
EP2696369Methods for   manufacturing a field-effect semiconductor deviceIMEC
EP2722873CHEMICAL MECHANICAL   POLISHING (CMP) COMPOSITION FOR SHALLOW TRENCH ISOLATION (STI) APPLICATIONS   AND METHODS OF MAKING THEREOFAIR PROD & CHEM
EP2722875Apparatus and method   of electrical testing for flip chipSPREADTRUM COMM   SHANGHAI CO
EP2724377DMOS TRANSISTOR WITH   A SLANTED SUPER JUNCTION DRIFT STRUCTURETEXAS INSTRUMENTS INC
EP2733733Stamp structures and   transfer methods using the sameSAMSUNG ELECTRONICS   CO LTD
EP2737485NVM BITCELL WITH A   REPLACEMENT CONTROL GATE AND ADDITIONAL FLOATING GATESYNOPSYS INC
EP2737525SEMICONDUCTOR DIE   ASSEMBLIES, SEMICONDUCTOR DEVICES INCLUDING SAME, AND METHODS OF FABRICATIONMICRON TECHNOLOGY INC
EP2737539HIGH VOLTAGE MOSFET   AND METHOD OF MAKING THE SAMEMICROCHIP TECH INC
EP2738795Electronic device   with a mounting substrate with a roughened mounting surface and method for   producing the sameDOWA METALTECH CO LTD
EP2742527APPARATUS AND METHOD   FOR BONDING SUBSTRATESEV GROUP E THALLNER   GMBH
EP2750179Integrated circuit   including a clock tree cellCOMMISSARIAT L   éNERGIE ATOMIQUE ET AUX éNERGIES ALTERNATIVES
EP2751833WAFER HOLDER AND   TEMPERATURE CONDITIONING ARRANGEMENT AND METHOD OF MANUFACTURING A WAFEROERLIKON ADVANCED   TECHNOLOGIES AG
EP2754174CONTAINER STORAGE   ADD-ON FOR BARE WORKPIECE STOCKERDYNAMIC MICRO SYSTEMS
EP2754738SUBSTRATE FOR   EPITAXIAL GROWTH, AND CRYSTAL LAMINATE STRUCTURETAMURA SEISAKUSHO KK
EP2755237Trench MOS gate   semiconductor device and method of fabricating the sameSAMSUNG ELECTRONICS   CO LTD
EP2761661MCT DEVICE WITH   BASE-WIDTH-DETERMINED LATCHING AND NON-LATCHING STATESPAKAL TECHNOLOGIES   LLC
EP2763178IGBT AND   MANUFACTURING METHOD THEREFORTOYOTA MOTOR CO LTD
EP2763181SEMICONDUCTOR DEVICE   AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICESUMITOMO ELECTRIC   INDUSTRIES
EP2765612Ga2O3 SEMICONDUCTOR   ELEMENTTAMURA SEISAKUSHO KK
EP2771909DEVICE FOR HEATING A   SUBSTRATESMIT OVENS BV
EP2772943Method for producing   a microelectronic device and corresponding deviceCOMMISSARIAT ENERGIE   ATOMIQUE
EP2774177METHOD AND APPARATUS   FOR FLOATING OR APPLYING VOLTAGE TO A WELL OF AN INTEGRATED CIRCUITSYNOPSYS INC
EP2780933METHOD OF   TRANSFERRING A MICRO DEVICELUXVUE TECHNOLOGY   CORP
EP2780934MICRO DEVICE TRANSFER   HEADLUXVUE TECHNOLOGY   CORP
EP2782119Method for locally   modifying the strains in a SOI substrate, in particular a FD SOI substrate   and corresponding deviceST MICROELECTRONICS   CROLLES 2
EP2782121SEMICONDUCTOR DEVICE   AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICEFUJI ELECTRIC CO LTD
EP2791034TRANSPORT APPARATUSBROOKS AUTOMATION INC
EP2793256Semiconductor device   manufacturing method and semiconductor deviceFUJI ELECTRIC CO LTD
EP2798669COMPOSITIONS AND   METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDEENTEGRIS INC
EP2801104IMPROVED INTERFACE   BETWEEN A I/III/VI 2 LAYER AND A BACK CONTACT LAYER IN A PHOTOVOLTAIC CELLNEXCIS
EP2802002Substrate having   hetero-structure and method for manufacturing the sameLG ELECTRONICS INC
EP2804202THERMALLY OXIDIZED   HETEROGENEOUS COMPOSITE SUBSTRATE AND METHOD FOR MANUFACTURING SAMESHINETSU CHEMICAL CO
EP2804214SEMICONDUCTOR DEVICE   AND METHOD OF MANUFACTURING THEREOFTOYOTA MOTOR CO LTD
EP2805352VERTICAL INTEGRATION   OF CMOS ELECTRONICS WITH PHOTONIC DEVICESSKORPIOS TECHNOLOGIES   INC
EP2806461SEMICONDUCTOR DEVICE   AND METHOD FOR PRODUCING SAMEFUJI ELECTRIC CO LTD
EP2812915SUBSTRATE TREATMENT   SYSTEMROTH & RAU AG
EP2816624Method for producing   a through electrical connection and a through capacitor in a substrate, and   corresponding deviceST MICROELECTRONICS   CROLLES 2
EP2819154Method for forming a   strained semiconductor structureIMEC VZW
EP2823288WAFER AND RETICLE   INSPECTION SYSTEMS AND METHOD FOR SELECTING ILLUMINATION PUPIL CONFIGURATIONSKLA TENCOR CORP
EP2824693Nitride semiconductor   element, nitride semiconductor wafer, and method for forming nitride   semiconductor layerTOSHIBA KK
EP2824696SEMICONDUCTOR DEVICE   AND METHOD OF MANUFACTURE THEREOFTOYOTA MOTOR CO LTD
EP2824698SUSCEPTORTOYO TANSO CO
EP2830097Bipolar transistor   having self-adjusted emitter contactIHP GMBH
EP2831910DEVICE FOR REMOVING A   COATING FROM A SUBSTRATE AND METHODSOLAR SEMI GMBH
EP2831913METHOD OF PROVIDING A   VIA HOLE AND ROUTING STRUCTURESILEX MICROSYSTEMS AB
EP2831929MANUFACTURE OF   MULTIJUNCTION SOLAR CELL DEVICESSOITEC SILICON ON   INSULATOR
EP2836823SYSTEMS AND METHODS   FOR SAMPLE INSPECTION AND REVIEWKLA TENCOR CORP
EP2837025END HANDLERSEMICONDUCTOR TECH   & INSTR INC
EP2840593ENHANCED SWITCH   DEVICE AND MANUFACTURING METHOD THEREFORENKRIS SEMICONDUCTOR   INC
EP2840599ACCOMMODATING   CONTAINER, SHUTTER OPENING AND CLOSING UNIT FOR ACCOMMODATING CONTAINER, AND   WAFER STOCKER USING SAMERORZE CORP
EP2843712Device and method for   the manufacture of a wafer having selective positioning in the carrier systemSILTECTRA GMBH
EP2851959SEMICONDUCTOR DEVICESUMITOMO ELECTRIC   INDUSTRIES
EP2852980HEMT DEVICE AND   METHOD OF MANUFACTURING THE SAMEHRL LAB LLC
EP2863417SILICON CARBIDE   SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING SAMEDENSO CORP
EP2863421Holder device for   holding wafersEV GROUP E THALLNER   GMBH
EP2863440SILICON CARBIDE   SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING SAMEDENSO CORP
EP2870623PROCESS BOX,   ASSEMBLY, AND METHOD FOR PROCESSING A COATED SUBSTRATESAINT GOBAIN
EP2870624DEVICE AND METHOD FOR   HEAT TREATING AN OBJECTSAINT GOBAIN
EP2870625SYSTEM AND METHOD FOR   PROCESSING SUBSTRATESSAINT GOBAIN
EP2870626HANDLE FOR   SEMICONDUCTOR-ON-DIAMOND WAFERS AND METHOD OF MANUFACTUREELEMENT SIX   TECHNOLOGIES US CORP
EP2875525SEMICONDUCTOR DIE   WITH A THROUGH SILICON VIA AND MANUFACTURING PROCESS OF A SUCH VIAIPDIA
EP2876666Method for producing   ferroelectric thin filmMITSUBISHI MATERIALS   CORP
EP2876675SEMICONDUCTOR DEVICE   AND METHOD FOR MANUFACTURING SAME, AND RINSING FLUIDMITSUI CHEMICALS INC
EP2879168METHOD FOR REMOVING   POLYCRYSTALLINE SILICON PROTECTING LAYER ON IGBT BACK FACE HAVING FIELD   TERMINATION STRUCTURECSMC TECHNOLOGIES   FAB1 CO LTD
EP2884532Method for   manufacturing an electrically conductive member for an electronic component   having one end provided with a cavityCOMMISSARIAT ENERGIE   ATOMIQUE
EP2889901Semiconductor device   with through-substrate via and method of producing a semiconductor device   with through-substrate viaAMS AG
EP2890835METHOD FOR DEPOSITING   AN ALUMINIUM NITRIDE LAYEROERLIKON ADVANCED   TECHNOLOGIES AG
EP2891808Systems and methods   for coupling a semiconductor device of an automation device to a heat sinkROCKWELL AUTOMATION   TECH INC
EP2892079Electronic circuits   including a MOSFET and a dual-gate JFETACCO SEMICONDUCTOR   INC
EP2893555DEVICE FOR SEPARATING   TWO SUBSTRATESSOITEC SILICON ON   INSULATOR
EP2894954HEAT DISSIPATION   HOUSING STRUCTURE CONNECTED TO HEAT DISSIPATION FINMARCHESI METAL   TECHNOLOGY SUZHOU CO LTD
EP2901485NON-PLANAR   SEMICONDUCTOR DEVICE HAVING GROUP III-V MATERIAL ACTIVE REGION WITH   MULTI-DIELECTRIC GATE STACKINTEL CORP
EP2901488NON-PLANAR   SEMICONDUCTOR DEVICE HAVING GERMANIUM-BASED ACTIVE REGION WITH RELEASE   ETCH-PASSIVATION SURFACEINTEL CORP
EP2903018METHOD FOR FORMING   FINE PATTERN, AND FINE PATTERN FORMED USING SAMEKOREA IND TECH INST
EP2903028TRENCH DMOS DEVICE   AND MANUFACTURING METHOD THEREOFCSMC TECHNOLOGIES   FAB1 CO LTD
EP2904636RELEASABLE SUBSTRATE   ON A CARRIERTNO
EP2908330GROUP III NITRIDE   COMPOSITE SUBSTRATE, MANUFACTURING METHOD THEREFOR, AND GROUP III NITRIDE   SEMICONDUCTOR DEVICE MANUFACTURING METHODSUMITOMO ELECTRIC   INDUSTRIES
EP2908332EXPOSURE DEVICE   PROVIDED WITH DAMPERNIKON CORP
EP2909857SYSTEMS, METHODS AND   METRICS FOR WAFER HIGH ORDER SHAPE CHARACTERIZATION AND WAFER CLASSIFICATION   USING WAFER DIMENSIONAL GEOMETRY TOOLSKLA TENCOR CORP
EP2911190NON-VOLATILE   SEMICONDUCTOR STORAGE DEVICEFLOADIA CORP
EP2911192SUBSTRATE FOR POWER   MODULE WITH HEAT SINK, POWER MODULE WITH HEAT SINK, AND METHOD FOR PRODUCING   SUBSTRATE FOR POWER MODULE WITH HEAT SINKMITSUBISHI MATERIALS   CORP
EP2914077COMPONENT SUPPLY   APPARATUSFUJI MACHINE MFG
EP2916349SEMICONDUCTOR MODULENSK LTD
EP2919267ARRAY SUBSTRATE,   MANUFACTURING METHOD THEREFOR AND DISPLAY DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP2920809COMPOSITIONS AND   PROCESSES FOR FABRICATION OF REAR PASSIVATED SOLAR CELLSSUN CHEMICAL CORP
EP2922088Method for etching a   porous dielectric materialCOMMISSARIAT ENERGIE   ATOMIQUE
EP2932528METHOD FOR   FABRICATING A STRUCTURESOITEC SILICON ON   INSULATOR
EP2932540METHODS FOR   PROCESSING OLED DEVICESCORNING INC
EP2933822Non-contact physical   etching system
EP2933824Substrate arrangementNXP BV
EP2933827TRANSISTOR HAVING   NITRIDE SEMICONDUCTOR USED THEREIN AND METHOD FOR MANUFACTURING TRANSISTOR   HAVING NITRIDE SEMICONDUCTOR USED THEREINMITSUBISHI ELECTRIC   CORP
EP2936550SUBSTRATES FOR   SEMICONDUCTOR DEVICESELEMENT SIX   TECHNOLOGIES LTD
EP2936558SEMICONDUCTOR PACKAGE   AND METHOD FOR FABRICATING BASE FOR SEMICONDUCTOR PACKAGE
EP2937905SILICON CARBIDE   SEMICONDUCTOR DEVICESUMITOMO ELECTRIC   INDUSTRIES
EP2939256SYSTEMS AND METHODS   FOR PROVIDING WAFER ACCESS IN A WAFER PROCESSING SYSTEMCASCADE MICROTECH INC
EP2939271SEMICONDUCTOR DEVICES   HAVING REDUCED ELECTRIC FIELD AT A GATE OXIDE LAYERCREE INC
EP2939272ADAPTIVE CHARGE   BALANCED MOSFET TECHNIQUESVISHAY SILICONIX
EP2940720POWER MODULEMITSUBISHI MATERIALS   CORP
EP2948980SUBSTRATE TRANSPORTBROOKS AUTOMATION INC
EP29489833D MEMORYMICRON TECHNOLOGY INC
EP2948987METHOD FOR THE   PRODUCTION OF MONOLITHIC WHITE DIODESCENTRE NAT RECH   SCIENT
EP2953153PRODUCTION METHOD OF   SOI WAFER, AND SOI WAFERSHINETSU HANDOTAI KK
EP2954557A BIPOLAR JUNCTION   TRANSISTOR STRUCTUREWOOD JOHN
EP2966675SEMICONDUCTOR DEVICESEIKO INSTR INC
EP2970568CROSS-LINKED ORGANIC   POLYMERS FOR USE AS ELASTOMERSDELSPER LP
EP2971248AQUEOUS CLEANING   COMPOSITION FOR POST COPPER CHEMICAL MECHANICAL PLANARIZATIONCABOT   MICROELECTRONICS CORP
EP2973675WAFER MAPPING PROCESS   CONTROL WITH INDICATOR LINEMICROCHIP TECH INC
EP2973678FORMING FENCE   CONDUCTORS IN AN INTEGRATED CIRCUITMICROCHIP TECH INC
EP2973681LOCAL INTERCONNECT   STRUCTURES FOR HIGH DENSITYQUALCOMM INC
EP2973697STACKED WAFER WITH   COOLANT CHANNELSRAYTHEON CO
EP2973721FIELD EFFECT   TRANSISTOR DEVICES WITH BURIED WELL REGIONS AND EPITAXIAL LAYERSCREE INC
EP2975641SEMICONDUCTOR DEVICEFUJI ELECTRIC CO LTD
EP2981985HIGHLY ETCH-RESISTANT   POLYMER BLOCK FOR USE IN BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLYBREWER SCIENCE INC
EP2983199CARRIER FOR   SEMICONDUCTOR PROCESSNAT INST OF ADVANCED   IND SCIEN
EP2983207ORGANIC   ELECTROLUMINESCENT ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY   DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP2985790SEMICONDUCTOR DEVICE   AND SEMICONDUCTOR DEVICE MANUFACTURING METHODFUJI ELECTRIC CO LTD
EP2988325ELECTRICAL   INTERCONNECT STRUCTURE FOR AN EMBEDDED SEMICONDUCTOR DEVICE PACKAGE AND   METHOD OF MANUFACTURING THEREOFGEN ELECTRIC
EP2991129ORGANIC SEMICONDUCTOR   THIN FILM PRODUCTION METHODUNIV OSAKA
EP2993686METHOD FOR PRODUCING   HYBRID SUBSTRATE, AND HYBRID SUBSTRATESHINETSU CHEMICAL CO
EP2996154NON-PLANAR GERMANIUM   QUANTUM WELL DEVICESINTEL CORP
EP2996155SEMICONDUCTOR DEVICE   AND METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICETOSHIBA KK
EP2997596HIGH PERFORMANCE   POWER MODULECREE INC
EP2998988SILICON SUBSTRATE   HAVING FERROELECTRIC FILM ATTACHED THERETOMITSUBISHI MATERIALS   CORP
EP2998991SEMICONDUCTOR DEVICE   AND METHODS FOR MANUFACTURING THE SAMETOSHIBA KK
EP3002786Semiconductor chipSENSIRION AG
EP3003967MICRO PICK UP ARRAY   WITH COMPLIANT CONTACTLUXVUE TECHNOLOGY   CORP
EP3005414PROCESSING SYSTEM   HAVING A POLAR STRUCTURE FOR PLANAR SUBSTRATESASYS GMBH
EP3007204METHOD FOR   MANUFACTURING BONDED WAFERSHINETSU HANDOTAI KK
EP3008752SEMICONDUCTOR   STRUCTURE HAVING COLUMN III-V ISOLATION REGIONSRAYTHEON CO
EP3011587III-V OR II-VI   COMPOUND SEMICONDUCTOR FILMS ON GRAPHITIC SUBSTRATESNORWEGIAN UNIV SCI   & TECH NTNU
EP3012868TECHNIQUES FOR   FORMING CONTACTS TO QUANTUM WELL TRANSISTORSINTEL CORP
EP3016136Transport system with   magnetically driven transport elements and according transportation methodBOSCH GMBH ROBERT
EP3016137CLAMP ASSEMBLYSPTS TECHNOLOGIES LTD
EP3016149AGGREGATE PHASE   PRECURSOR FOR PRODUCING LIGHT ABSORBING LAYER OF SOLAR CELL AND METHOD FOR   PRODUCING SAMELG CHEMICAL LTD
EP3017476FORMATION OF   SELF-ALIGNED SOURCE FOR SPLIT-GATE NON-VOLATILE MEMORY CELLSILICON STORAGE TECH   INC
EP3018696MANUFACTURING METHOD   FOR SEMICONDUCTOR SUBSTRATETOYOTA JIDOSHOKKI KK
EP3020067PROVIDING A CHIP DIE   WITH ELECTRICALLY CONDUCTIVE ELEMENTSTNO
EP3022770METHOD AND   SEMICONDUCTOR STRUCTURE WITH DEEP TRENCH ISOLATION STRUCTURESTEXAS INSTRUMENTS INC
EP3022772ENHANCED GATE   DIELECTRIC FOR A FIELD EFFECT DEVICE WITH A TRENCHED GATECREE INC
EP3024023Slat fastening   assembly
EP3024027VERTICAL AND 3D   MEMORY DEVICES AND METHODS OF MANUFACTURING THE SAME
EP3025368COMPOSITIONS AND   METHODS FOR CMP OF SILICON OXIDE, SILICON NITRIDE, AND POLYSILICON MATERIALSCABOT   MICROELECTRONICS CORP
EP3025372CONTROLLED ION   IMPLANTATION INTO SILICON CARBIDECREE INC
EP3026696PACKAGE FOR   SEMICONDUCTOR DEVICES SENSITIVE TO MECHANICAL AND THERMO-MECHANICAL STRESSES,   SUCH AS MEMS PRESSURE SENSORSST MICROELECTRONICS   SRL
EP3026698METHOD OF DEGASSINGSPTS TECHNOLOGIES LTD
EP3028299METHODS AND   STRUCTURES FOR PROCESSING SEMICONDUCTOR DEVICESMICRON TECHNOLOGY INC
EP3031075CONDUCTIVE   INTERCONNECT STRUCTURES INCORPORATING NEGATIVE THERMAL EXPANSION MATERIALS   AND ASSOCIATED SYSTEMS, DEVICES, AND METHODSMICRON TECHNOLOGY INC
EP3031947WATER- AND   OIL-REPELLENT POLYMER THIN FILM, AND METHOD FOR MANUFACTURING SAMELG CHEMICAL LTD
EP3032578METHOD FOR   FABRICATING THROUGH-SUBSTRATE VIASIMEC
EP3033764METHODS AND APPARATUS   FOR DETERMINING FOCUSKLA TENCOR CORP
EP3033766METHOD OF FORMING   METAL CONTACT OPENINGTEXAS INSTRUMENTS INC
EP3035380APPARATUS FOR   SEPARATING FLEXIBLE SUBSTRATE FROM GLASS SUBSTRATE, AND PRODUCTION DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3036769IMPROVED SILICIDE   FORMATION BY IMPROVED SIGE FACETINGTEXAS INSTRUMENTS INC
EP3038139Controllable   conduction deviceHITACHI LTD
EP3038147GAS PURGE DEVICE AND   GAS PURGE METHODMURATA MACHINERY LTD
EP3038155INTEGRATED CIRCUIT   PACKAGE WITH A CAPACITORINTEL CORP
EP3039710WAFER DICING METHOD   FOR IMPROVING DIE PACKAGING QUALITYAPPLIED MATERIALS INC
EP3039715METHOD AND APPARATUS   FOR PLASMA DICING A SEMI-CONDUCTOR WAFERPLASMA THERM LLC
EP3040397ETCHANT SOLUTIONS AND   METHOD OF USE THEREOFAIR PROD & CHEM
EP3042389SEMICONDUCTOR DEVICE   STRUCTURES COMPRISING POLYCRYSTALLINE CVD DIAMOND WITH IMPROVED   NEAR-SUBSTRATE THERMAL CONDUCTIVITYELEMENT SIX   TECHNOLOGIES US CORP
EP3043374BONDED WAFER   MANUFACTURING METHODSHINETSU HANDOTAI KK
EP3043376SILICON CARBIDE   SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SILICON CARBIDE   SEMICONDUCTOR ELEMENTFUJI ELECTRIC CO LTD
EP3046140SEMICONDUCTOR DEVICE   AND METHOD OF MANUFACTURING THE SAMERENESAS ELECTRONICS   CORP
EP3047517WAFER DICING FROM   WAFER BACKSIDE AND FRONT SIDEAPPLIED MATERIALS INC
EP3047523HIGH VOLTAGE MOSFET   DEVICES AND METHODS OF MAKING THE DEVICESMONOLITH   SEMICONDUCTOR INC
EP3050080METHODS OF FORMING   PARALLEL WIRES OF DIFFERENT METAL MATERIALS THROUGH DOUBLE PATTERNING AND   FILL TECHNIQUESINTEL CORP
EP3050081INTERCONNECT WIRES   INCLUDING RELATIVELY LOW RESISTIVITY CORESINTEL CORP
EP3050082MULTI-LAYERED   POLISHING PADS3M INNOVATIVE   PROPERTIES CO
EP3050085SELF-ALIGNED VIA AND   PLUG PATTERNING FOR BACK END OF LINE (BEOL) INTERCONNECTSINTEL CORP
EP3050112COMPOSITE HIGH-K   METAL GATE STACK FOR ENHANCEMENT MODE GAN SEMICONDUCTOR DEVICESINTEL CORP
EP3050825ARTICLE SUPPORT   DEVICE AND METHOD FOR PLACING TWO TYPES OF ARTICLES ON SUPPORT DEVICEMURATA MACHINERY LTD
EP3053186DEVICE AND METHOD FOR   THE CONTINUOUS PRODUCTION OF POROUS SILICON LAYERSFRAUNHOFER GES   FORSCHUNG
EP3053196FIELD EFFECT   TRANSISTOR AND METHODHRL LAB LLC
EP3053854STORAGE WAREHOUSEMURATA MACHINERY LTD
EP3055878CLEAN-ROOM TRANSPORT   CONTAINER WITH TRAVEL GEARASYS GMBH
EP3055885NORMALLY-OFF   III-NITRIDE TRANSISTORS WITH HIGH THRESHOLD-VOLTAGE AND LOW ON-RESISTANCEHRL LAB LLC
EP3057123JOINING STRUCTURE AND   ELECTRONIC MEMBER-JOINING STRUCTURAL BODYFURUKAWA ELECTRIC CO   LTD
EP3058593METHOD PROVIDING AN   EPITAXIAL PHOTONIC DEVICE HAVING A REDUCTION IN DEFECTS AND RESULTING   STRUCTUREMICRON TECHNOLOGY INC
EP3061125FOCAL PLANE ARRAY   PACKAGING USING ISOSTATIC PRESSURE PROCESSINGRAYTHEON CO
EP3061126CLEANING SYSTEMS AND   METHODS FOR SEMICONDUCTOR SUBSTRATE STORAGE ARTICLESBROOKS CCS GMBH
EP3062335METHOD OF   INTERCALATING AN INSULATING LAYER BETWEEN A METAL LAYER AND A GRAPHENE LAYER   AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE INTERCALATION METHODSAMSUNG ELECTRONICS   CO LTD
EP3063784DEVICES, SYSTEMS AND   METHODS FOR MANUFACTURING THROUGH-SUBSTRATE VIAS AND FRONT-SIDE STRUCTURESMICRON TECHNOLOGY INC
EP3063787METHODS AND   APPARATUSES HAVING STRINGS OF MEMORY CELLS INCLUDING A METAL SOURCEMICRON TECHNOLOGY INC
EP3067920SEMICONDUCTOR DEVICE   AND METHOD FOR PRODUCING SAMERENESAS ELECTRONICS   CORP
EP3067922SEMICONDUCTOR DEVICE   AND THE METHOD OF PRODUCING THE SAMESONY CORP
EP3072148METHOD FOR PROTECTING   A SILICIDE LAYERCOMMISSARIAT à   L'éNERGIE ATOMIQUE ET AUX éNERGIES ALTERNATIVES
EP3073512SUBSTRATE TREATMENT   DEVICE AND METHODCANON ANELVA CORP
EP3082167SEMICONDUCTOR DEVICE   MANUFACTURING METHODMITSUBISHI ELECTRIC   CORP
EP3084810COBALT BASED   INTERCONNECTS AND METHODS OF FABRICATION THEREOFINTEL CORP
EP3087586ADVANCED ETCHING   TECHNIQUES FOR STRAIGHT, TALL AND UNIFORM FINS ACROSS MULTIPLE FIN PITCH   STRUCTURESINTEL CORP
EP3087591SEMICONDUCTOR   NANOPARTICLE DISPERSION, PHOTOELECTRIC CONVERSION ELEMENT, AND IMAGE PICKUP   DEVICESONY CORP
EP3087595SYSTEM AND METHOD FOR   NON-CONTACT WAFER CHUCKINGKLA TENCOR CORP
EP3089212ARRAY SUBSTRATE,   MANUFACTURING METHOD THEREFOR, AND DISPLAY DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3090444CONDUCTIVE LAYER   ROUTINGQUALCOMM INC
EP3092659TRENCH MOS DEVICE   HAVING A TERMINATION STRUCTURE WITH MULTIPLE FIELD-RELAXATION TRENCHES FOR   HIGH VOLTAGE APPLICATIONSVISHAY GEN   SEMICONDUCTOR LLC
EP3093879END EFFECTOR OF A   WAFER HANDLING SYSTEM AND WAFER HANDLING SYSTEMINTEGRATED DYNAMICS   ENG GMBH
EP3094766GROUP III NITRIDE   BULK CRYSTALS AND FABRICATION METHODSIXPOINT MATERIALS   INC
EP3095129MONOLITHICALLY   INTEGRATED CASCODE SWITCHESUNITED SILICON   CARBIDE INC
EP3096347SEMICONDUCTOR DEVICE   INCLUDING METAL-2 DIMENSIONAL MATERIAL-SEMICONDUCTOR CONTACTSAMSUNG ELECTRONICS   CO LTD
EP3096350SEMICONDUCTOR PACKAGE   ASSEMBLY AND METHOD FOR FORMING THE SAME
EP3097578METHOD FOR FORMING   POLYSILICONLASER SYSTEMS &   SOLUTIONS OF EUROP
EP3097580FIN-BASED   SEMICONDUCTOR DEVICES AND METHODSINTEL CORP
EP3098837DIE BONDING APPARATUS   COMPRISING THREE INERT GAS CONTAINERSASM TECH SINGAPORE   PTE LTD
EP3098838PLASMA TREATMENT   DEVICE AND WAFER TRANSPORTATION TRAYULVAC INC
EP3101683SEMICONDUCTOR-WAFER   CLEANING TANK AND METHOD FOR MANUFACTURING BONDED WAFERSHIN-ETSU HANDOTAI CO   LTD
EP3103135METHOD AND DEVICE FOR   BONDING SUBSTRATESEV GROUP E THALLNER   GMBH
EP3103136ELECTROSTATIC CHUCK   AND METHOD OF MAKING SAMEENTEGRIS INC
EP3103137ELECTROSTATIC HEATING   SUBSTRATE HOLDER WHICH IS POLARISED AT HIGH VOLTAGEION BEAM SERVICES
EP3104401PURGE DEVICE AND   PURGE METHODMURATA MACHINERY LTD
EP3105175FLOATING EVAPORATIVE   ASSEMBLY OF ALIGNED CARBON NANOTUBESWISCONSIN ALUMNI RES   FOUND
EP3105781CHAMBER FOR DEGASSING   SUBSTRATESEVATEC AG
EP3105793STACKED THIN CHANNELS   FOR BOOST AND LEAKAGE IMPROVEMENTINTEL CORP
EP3105829MANUFACTURABLE LASER   DIODESORAA LASER DIODE INC
EP3108499BOTTOM PACKAGE WITH   METAL POST INTERCONNECTIONSQUALCOMM INC
EP3108500SPACER ENABLED ACTIVE   ISOLATION FOR AN INTEGRATED CIRCUIT DEVICEMICROCHIP TECH INC
EP3108507SILICON CARBIDE   SEMICONDUCTOR DEVICE, AND METHODS FOR MANUFACTURING THEREOFGEN ELECTRIC
EP3111474METHOD FOR PRODUCING   A PRINTED CIRCUIT BOARD WITH AN EMBEDDED SENSOR CHIP, AND PRINTED CIRCUIT   BOARDAT & S AUSTRIA   TECH & SYSTEMTECHNIK AG
EP3112935PROCESS FOR REALISING   A RESIST COATING IN A RECESS ON THE SURFACE OF A SUBSTRATE, IN PARTICULAR A   WAFERSUSS MICROTEC   LITHOGRAPHY GMBH
EP3113213PACKAGE SUBSTRATE AND   MANUFACTURING METHOD THEREOF
EP3113216A METHOD FOR BONDING   AND INTERCONNECTING INTEGRATED CIRCUIT DEVICESIMEC VZW
EP3114703METHOD AND APPARATUS   FOR PLASMA DICING A SEMI-CONDUCTOR WAFERPLASMA-THERM LLC
EP3114708HIGH PERFORMANCE   STANDARD CELLQUALCOMM INC
EP3115484DEVICE INCLUDING   VERTICALLY ALIGNED TWO-DIMENSIONAL MATERIAL AND METHOD OF FORMING A   VERTICALLY ALIGNED TWO-DIMENSIONAL MATERIALSAMSUNG ELECTRONICS   CO LTD
EP3117451COMPOSITION FOR   TUNGSTEN CMPCABOT   MICROELECTRONICS CORP
EP3120382AN IMAGE SENSOR, AN   INSPECTION SYSTEM AND A METHOD OF INSPECTING AN ARTICLEKLA TENCOR CORP
EP3121836LASER ANNEALING   DEVICE, METHOD FOR FABRICATING POLYCRYSTALLINE SILICON FILM, AND   POLYCRYSTALLINE SILICON FILM FABRICATED BY USING SAMEBOE TECHNOLOGY GROUP   CO LTD
EP3121837SEMICONDUCTOR   SUBSTRATE EVALUATION METHODSHIN-ETSU HANDOTAI CO   LTD
EP3121845PURGE APPARATUS AND   PURGE METHODMURATA MACHINERY LTD
EP3123499THROUGH-BODY VIA   FORMATION TECHNIQUESINTEL CORP
EP3123515INTEGRATION METHODS   TO FABRICATE INTERNAL SPACERS FOR NANOWIRE DEVICESINTEL CORP
EP3125291MULTILAYER STRUCTURE   INCLUDING DIFFUSION BARRIER LAYER AND DEVICE INCLUDING THE MULTILAYER   STRUCTURESAMSUNG ELECTRONICS   CO LTD
EP3125297SILICON CARBIDE   SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SAMESUMITOMO ELECTRIC   INDUSTRIES
EP3127141METHOD AND DEVICE FOR   THE SURFACE TREATMENT OF SUBSTRATESEV GROUP E THALLNER   GMBH
EP3127145DEPOSITION SYSTEM   WITH MULTI-CATHODE AND METHOD OF MANUFACTURE THEREOFAPPLIED MATERIALS INC
EP3128534FERROELECTRIC MEMORY   DEVICE AND FABRICATION METHOD THEREOFIMEC VZW
EP3128544VARIABLE CAPACITANCE   DEVICE AND PRODUCTION METHOD THEREFORMURATA MANUFACTURING   CO
EP3128548SEMICONDUCTOR DEVICE,   LAYERED SEMICONDUCTOR DEVICE, SEALED-THEN-LAYERED SEMICONDUCTOR DEVICE, AND   MANUFACTURING METHODS THEREFORSHIN ETSU CHEMICAL CO   LTD
EP3128549SEMICONDUCTOR DEVICE,   LAYERED SEMICONDUCTOR DEVICE, SEALED-THEN-LAYERED SEMICONDUCTOR DEVICE, AND   MANUFACTURING METHODS THEREFORSHIN ETSU CHEMICAL CO   LTD
EP3131114BARE DIE INTEGRATION   WITHIN A FLEXIBLE SUBSTRATEPALO ALTO RES CT INC
EP3134917IMPROVED CLEANROOM   SHUTTLESCHILLER   AUTOMATISIERUNGSTECHNIK GMBH
EP3136422SUBSTRATE-BONDING   DEVICE AND METHOD FOR BONDING SUBSTRATESUGA TADATOMO
EP3136432RESIN STRUCTURE   HAVING ELECTRONIC COMPONENT EMBEDDED THEREIN, AND METHOD FOR MANUFACTURING   SAID STRUCTUREOMRON TATEISI   ELECTRONICS CO
EP3136445A METHOD FOR FORMING   APPARATUS COMPRISING TWO DIMENSIONAL MATERIALEMBERION OY
EP3138122METHOD AND DEVICE FOR   EVENING OUT A SUBSTRATE STACKEV GROUP E THALLNER   GMBH
EP3138123GAS CUSHION APPARATUS   AND TECHNIQUES FOR SUBSTRATE COATINGKATEEVA INC
EP3139405BURIED INTERCONNECT   FOR SEMICONDUTOR CIRCUITSIMEC VZW
EP3140852MULTI-LAYER POLISHING   PAD FOR CMPCABOT   MICROELECTRONICS CORP
EP3144958STRUCTURE FOR   RADIOFREQUENCY APPLICATIONS AND PROCESS FOR MANUFACTURING SUCH A STRUCTURESOITEC SILICON ON   INSULATOR
EP3151269CONDUCTIVE PLUG   STRUCTURE AND FABRICATION METHOD THEREOFSEMICONDUCTOR MFG INT   CORP (SHANGHAI)
EP3152780MELT-GROWTH OF   SINGLE-CRYSTAL ALLOY SEMICONDUCTOR STRUCTURES AND SEMICONDUCTOR ASSEMBLIES   INCORPORATING SUCH STRUCTURESUNIV SOUTHAMPTON
EP3154077METHOD FOR   MANUFACTURING AN ELECTRONIC ELEMENT AND AN ELECTRONIC ELEMENTFRAUNHOFER-GESELLSCHAFT   ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E V
EP3155655SELECTIVE DIFFUSION   BARRIER BETWEEN METALS OF AN INTEGRATED CIRCUIT DEVICEINTEL CORP
EP3155666METAL ON BOTH SIDES   WITH CLOCK GATED POWER AND SIGNAL ROUTING UNDERNEATHINTEL CORP
EP3157045SMOOTHED III-N   SUBSTRATESFREIBERGER COMPOUND   MAT GMBH
EP3157047PURGE STOCKER AND   PURGING METHODMURATA MACHINERY LTD
EP3157048PURGE DEVICE, PURGE   SYSTEM, PURGE METHOD, AND CONTROL METHOD IN PURGE SYSTEMMURATA MACHINERY LTD
EP3159911METHOD FOR   MANUFACTURING SOI WAFERSHIN-ETSU HANDOTAI CO   LTD
EP3159917SEAL COMPOSITION AND   PRODUCTION METHOD FOR SEMICONDUCTOR DEVICEMITSUI CHEMICALS INC
EP3159919A PROCEDURE OF   PROCESSING A WORKPIECE AND AN APPARATUS DESIGNED FOR THE PROCEDUREZHEJIANG MICROTECH   MAT CO LTD
EP3159920CARRIER BUFFERING   DEVICE AND BUFFERING METHODMURATA MACHINERY LTD
EP3159921CARRIER BUFFERING   DEVICE AND STORAGE METHODMURATA MACHINERY LTD
EP3159923CARRIER BUFFERING   DEVICE AND BUFFERING METHODMURATA MACHINERY LTD
EP3159932SEMICONDUCTOR DEVICE,   AND METHOD FOR PRODUCING SAMETOSHIBA KK
EP3161857WAVELIKE HARD   NANOMASK ON A TOPOGRAPHIC FEATURE AND METHODS OF MAKING AND USINGWOSTEC INC
EP3161858COLLOIDAL SILICA   CHEMICAL-MECHANICAL POLISHING CONCENTRATECABOT   MICROELECTRONICS CORP
EP3161866DECOUPLING CAPACITORS   AND ARRANGEMENTSINTEL CORP
EP3163603ROBOT HAVING END   EFFECTOR AND METHOD OF OPERATING THE SAMEKAWASAKI HEAVY IND   LTD
EP3164885PROCESS AND SYSTEM   FOR UNIFORMLY RECRYSTALLIZING AMORPHOUS SILICON SUBSTRATE BY FIBER LASERIPG PHOTONICS CORP
EP3166142BOND WIRE CONNECTION   AND METHOD OF MANUFACTURING THE SAMENXP BV
EP3166148LIGHT-EMITTING DIODE   DISPLAY PANEL AND MANUFACTURING METHOD THEREFOR, AND DISPLAY DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3167473EXTREME ULTRAVIOLET   MASK BLANK PRODUCTION SYSTEM WITH THIN ABSORBER AND MANUFACTURING SYSTEM   THEREFORAPPLIED MATERIALS INC
EP3167475PLANARIZED EXTREME   ULTRAVIOLET LITHOGRAPHY BLANK WITH ABSORBER AND MANUFACTURING SYSTEM THEREFORAPPLIED MATERIALS INC
EP3167476EXTREME ULTRAVIOLET   REFLECTIVE ELEMENT WITH AMORPHOUS LAYERS AND METHOD OF MANUFACTURING THEREOFAPPLIED MATERIALS INC
EP3167479BONDED ASSEMBLY WITH   INTEGRATED TEMPERATURE SENSING IN BOND LAYERWATLOW ELECTRIC MFG
EP3168882SEMICONDUCTOR DEVICE   AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICESHINDENGEN ELECTRIC   MFG
EP3170197APPARATUS AND METHODS   FOR MICRO-TRANSFER PRINTINGX-CELEPRINT LTD
EP3172761TUNGSTEN ALLOYS IN   SEMICONDUCTOR DEVICESINTEL CORP
EP3172762POLYIMIDES AS LASER   RELEASE MATERIALS FOR 3-D IC APPLICATIONSBREWER SCIENCE INC
EP3174089SEMICONDUCTOR   MANUFACTURING DEVICE, AND METHOD OF MANUFACTURING SEMICONDUCTORSUMITOMO CHEMICAL CO
EP3174091WAFER PROCESSING   LAMINATE AND METHOD FOR PROCESSING WAFERSHIN ETSU CHEMICAL CO   LTD
EP3175477STRUCTURE FOR   RADIO-FREQUENCY APPLICATIONSSOITEC SILICON ON   INSULATOR
EP3175496PHOTOLITHOGRAPHIC   PATTERNING OF ORGANIC ELECTRONIC DEVICESORTHOGONAL INC
EP3176823SEMICONDUCTOR DEVICE   HAVING ESD ELEMENTSII SEMICONDUCTOR   CORP
EP3178109SUBSTRATE SUPPORTMEYER BURGER   (GERMANY) AG
EP3178645AIR-PERMEABLE SHEETJAPAN VILENE CO LTD
EP3179503NAND FLASH MEMORY AND   FABRICATION METHODS THEREOFSEMICONDUCTOR MFG INT   CORP (BEIJING)
EP3180800DEVICE FOR   ANISOTROPICALLY ETCHING A SUBSTRATE, AND METHOD FOR OPERATING A DEVICE FOR   ANISOTROPICALLY ETCHING A SUBSTRATEBOSCH GMBH ROBERT
EP3183752TRANSISTOR GATE METAL   WITH LATERALLY GRADUATED WORK FUNCTIONINTEL CORP
EP3185279DIRECT BONDING METHOD   BETWEEN TWO STRUCTURESCOMMISSARIAT à   L'éNERGIE ATOMIQUE ET AUX éNERGIES ALTERNATIVES
EP3186821APPLICATIONS, METHODS   AND SYSTEMS FOR MATERIALS PROCESSING WITH VISIBLE RAMAN LASERNUBURU INC
EP3186822SELECTIVE DEPOSITION   WITH ALCOHOL SELECTIVE REDUCTION AND PROTECTIONAPPLIED MATERIALS INC
EP3186837A III-NITRIDE   TRANSISTOR WITH ENHANCED DOPING IN BASE LAYERHRL LAB LLC
EP3187861SUBSTRATE INSPECTION   DEVICE AND SUBSTRATE INSPECTION METHODSUMITOMO CHEMICAL CO
EP3187937MASK PLATE, MASK   PLATE ASSEMBLY, METHOD FOR MANUFACTURING PIXEL AND PIXEL STRUCTUREBOE TECHNOLOGY GROUP   CO LTD
EP3188221RADIO FREQUENCY POWER   ASSEMBLY AND TRANSCEIVER DEVICEHUAWEI TECH CO LTD
EP3189539ATOMIC SCALE GRID FOR   MODELING SEMICONDUCTOR STRUCTURES AND FABRICATION PROCESSESSYNOPSYS INC
EP3190627MAGNETIC ELEMENT,   SKYRMION MEMORY, SKYRMION MEMORY DEVICE, SOLID-STATE ELECTRONIC DEVICE, DATA   RECORDING DEVICE, DATA PROCESSING DEVICE, AND DATA COMMUNICATION DEVICERIKEN
EP3191858DISTRIBUTED VOLTAGE   NETWORK CIRCUITS EMPLOYING VOLTAGE AVERAGING, AND RELATED SYSTEMS AND METHODSQUALCOMM INC
EP3192844METHOD AND DEVICE FOR   CHEMICAL MECHANICAL POLISHINGEOSWISS ENG SARL
EP3193374COMPLEMENTARY   TUNNELING FIELD EFFECT TRANSISTOR AND MANUFACTURING METHOD THEREFORHUAWEI TECH CO LTD
EP3195354METHOD FOR   FABRICATING SEMICONDUCTOR LAYERS INCLUDING TRANSISTOR CHANNELS HAVING   DIFFERENT STRAIN STATES, AND RELATED SEMICONDUCTOR LAYERSSOITEC SILICON ON   INSULATOR
EP3196926MOUNTING MEMBERKYOCERA CORP
EP3196944MAGNETIC ELEMENT,   SKYRMION MEMORY, SKYRMION MEMORY DEVICE, SOLID STATE ELECTRONIC DEVICE   EQUIPPED WITH SKYRMION MEMORY, DATA RECORDING DEVICE, DATA PROCESSING DEVICE,   AND COMMUNICATION DEVICERIKEN
EP3200221PURGING DEVICE AND   PURGING METHODMURATA MACHINERY LTD
EP3200227ELECTROLUMINESCENT   DEVICE AND MANUFACTURING METHOD THEREOF, DISPLAY SUBSTRATE AND DISPLAY   APPARATUSBOE TECHNOLOGY GROUP   CO LTD
EP3201942METHOD AND SYSTEM FOR   MEASURING RADIATION AND TEMPERATURE EXPOSURE OF WAFERS ALONG A FABRICATION   PROCESS LINEKLA - TENCOR CORP
EP3203496METHOD FOR PRODUCING   PATTERNED SUBSTRATELG CHEMICAL LTD
EP3207564METHOD FOR DIRECT   ADHESION VIA LOW-ROUGHNESS METAL LAYERSCOMMISSARIAT à   L'éNERGIE ATOMIQUE ET AUX éNERGIES ALTERNATIVES
EP3208831METHOD FOR PRODUCING   SEMICONDUCTOR INTEGRATED CIRCUIT DEVICES, AND SEMICONDUCTOR INTEGRATED   CIRCUIT DEVICEFLOADIA CORP
EP3208834RESIN-SEALED MODULE   PRODUCTION METHOD AND RESIN-SEALED MODULESHINDENGEN ELECTRIC   MFG
EP3208849TRIGATE STATIC   RANDOM-ACCESS MEMORY WITH INDEPENDENT SOURCE AND DRAIN ENGINEERING, AND   DEVICES MADE THEREFROMINTEL CORP
EP3210235THREE DIMENSIONAL   NAND STRING MEMORY DEVICES AND METHODS OF FABRICATION THEREOFSANDISK TECHNOLOGIES   LLC
EP3213343A METHOD FOR   PHOTOLITHOGRAPHY-FREE SELF-ALIGNED REVERSE ACTIVE ETCHMICROCHIP TECH INC
EP3214641METHOD FOR   MANUFACTURING SEMICONDUCTOR DEVICE AND RESIST GLASSSHINDENGEN ELECTRIC   MFG
EP3214642FLEXIBLE SUBSTRATE   ATTACHING METHOD AND FLEXIBLE SUBSTRATE ATTACHING STRUCTUREBOE TECHNOLOGY GROUP   CO LTD
EP3214645INDUSTRIAL ROBOTNIDEC SANKYO CORP
EP3216046RELIABILITY   IMPROVEMENT OF POLYMER-BASED CAPACITORS BY MOISTURE BARRIERTEXAS INSTRUMENTS INC
EP3216049METHOD AND DEVICE FOR   COATING A PRODUCT SUBSTRATEEV GROUP E THALLNER   GMBH
EP3216050BOTTOM-UP   ELECTROLYTIC VIA PLATING METHODCORNING INC
EP3216839POLISHING COMPOSITIONFUJIMI INC
EP3217425SELF-ALIGNED   INTERCONNECTSIMEC VZW
EP3217434SEMICONDUCTOR DEVICE   CAPABLE OF HIGH-VOLTAGE OPERATION
EP3220412ENCAPSULATING DEVICE   AND METHODBOE TECHNOLOGY GROUP   CO LTD
EP3220417WIRING CIRCUIT BOARD,   SEMICONDUCTOR DEVICE, WIRING CIRCUIT BOARD MANUFACTURING METHOD, AND   SEMICONDUCTOR DEVICE MANUFACTURING METHODTOPPAN PRINTING CO   LTD
EP3223301FILM-FORMING DEVICETOKYO ELECTRON LTD
EP3223302SIC SUBSTRATE   TREATMENT METHODTOYO TANSO CO
EP3223304DIELECTRIC FILM AND   ELECTRONIC COMPONENTTDK CORP
EP3226305SILICON CARBIDE   SEMICONDUCTOR DEVICE, AND METHOD FOR PRODUCING SAMESHINDENGEN ELECTRIC   MFG
EP3227905STRUCTURE FOR   RADIOFREQUENCY APPLICATIONSSOITEC SILICON ON   INSULATOR
EP3227906ELECTRONIC DEVICE   WITH A WIRE ELEMENT EXTENDING FROM AN ELECTROCONDUCTIVE LAYER COMPRISING   ZIRCONIUM CARBIDE OR HAFNIUM CARBIDECOMMISSARIAT à   L'éNERGIE ATOMIQUE ET AUX éNERGIES ALTERNATIVES
EP3227909SUBSTRATE STACK   HOLDER, CONTAINER AND METHOD FOR SEPARATING A SUBSTRATE STACKEV GROUP E THALLNER   GMBH
EP3227912CHUCKING WARPED WAFER   WITH BELLOWSKLA TENCOR CORP
EP3229264ETCHING METHOD AND   FABRICATION METHOD OF SEMICONDUCTOR STRUCTURESSEMICONDUCTOR MFG INT   CORP (SHANGHAI)
EP3231007ATOMIC LAYER   DEPOSITION PASSIVATION FOR VIAHEWLETT PACKARD   DEVELOPMENT CO
EP3231009HORIZONTAL SUBSTRATE   CONTAINER WITH INTEGRAL CORNER SPRING FOR SUBSTRATE CONTAINMENTENTEGRIS INC
EP3234984COMPOUND   SEMICONDUCTOR DEVICE STRUCTURES COMPRISING POLYCRYSTALLINE CVD DIAMONDRFHIC CORP
EP3234991WAFER CONTAINER WITH   SHOCK CONDITION PROTECTIONENTEGRIS INC
EP3235004BUFFER STACK FOR   GROUP IIIA-N DEVICESTEXAS INSTRUMENTS INC
EP3238232METHOD FOR OBTAINING   PATTERNS IN A LAYERCOMMISSARIAT à   L'éNERGIE ATOMIQUE ET AUX éNERGIES ALTERNATIVES
EP3238236VIA BLOCKING LAYERINTEL CORP
EP3238243HIGH MOBILITY   NANOWIRE FIN CHANNEL ON SILICON SUBSTRATE FORMED USING SACRIFICIAL SUB-FININTEL CORP
EP3240018CLEANER COMPOSITION   AND PREPARATION OF THIN SUBSTRATESHIN ETSU CHEMICAL CO   LTD
EP3243212SEMICONDUCTOR DEVICE   STRUCTURE AND METHODS OF ITS PRODUCTIONSWEGAN AB
EP3244441SEMICONDUCTOR   STRUCTURE AND FABRICATION METHOD THEREOFSEMICONDUCTOR MFG INT   CORP (SHANGHAI)
EP3244443WAFER PROCESSING   LAMINATE, METHOD FOR MANUFACTURING THEREOF, AND METHOD FOR CHECKING COVERAGE   OF ORGANIC FILM ON WAFERSHIN ETSU CHEMICAL CO   LTD
EP3244713SOLDER BALL   INFORMATION MANAGEMENT SYSTEM FOR SOLDER BALL FEEDERFUJI MACHINE MFG
EP3245664A NON-CONDUCTIVE   SUBSTRATE WITH TRACKS FORMED BY SAND BLASTINGDIRECTOR GENERAL   CENTRE FOR MAT FOR ELECTRONICS TECH
EP3245668CLEANING COMPOSITION   AND METHOD FOR CLEANING SEMICONDUCTOR WAFERS AFTER CMPCABOT   MICROELECTRONICS CORP
EP3248212METHOD FOR PRODUCING   A PASSIVATED SEMICONDUCTOR STRUCTURE BASED ON GROUP III NITRIDES, AND ONE   SUCH STRUCTURECENTRE NAT DE LA RECH   SCIENT (C N R S )
EP3248214METHOD OF FORMING   SELF-ALIGNED SPLIT-GATE MEMORY CELL ARRAY WITH METAL GATES AND LOGIC DEVICESSILICON STORAGE TECH   INC
EP3249689METHOD FOR FORMING   PDSOI AND FDSOI TRANSISTORS ON A SINGLE SUBSTRATEX-FAB FRANCE
EP3252804ELECTROSTATIC   DISCHARGE UNITATOTECH DEUTSCHLAND   GMBH
EP3254309INTEGRATED DEVICE   PACKAGE COMPRISING A MAGNETIC CORE INDUCTOR WITH PROTECTIVE RING EMBEDDED IN   A PACKAGE SUBSTRATEQUALCOMM INC
EP3255587INTEGRATED CIRCUIT   MODULE WITH FILLED CONTACT GAPSNXP BV
EP3255663METHOD FOR   INTERRUPTING A LINE IN AN INTERCONNECTIMEC VZW
EP3258485SOLUTION AND METHOD   FOR REMOVAL OF GROUP III-V ELEMENT OXIDE, SOLUTION FOR TREATMENT OF GROUP   III-V ELEMENT COMPOUND, SOLUTION FOR PREVENTING OXIDATION OF GROUP III-V   ELEMENT, SOLUTION FOR TREATMENT OF SEMICONDUCTOR SUBSTRATE, AND METHOD FOR   PRODUCTION OF SEMICONDUCTOR SUBSTRATE PRODUCTFUJIFILM CORP
EP3260511REVERSIBLE BONDING   METHOD BETWEEN TWO ELEMENTSCOMMISSARIAT à   L'éNERGIE ATOMIQUE ET AUX éNERGIES ALTERNATIVES
EP3261114COMPOSITION FOR   SILICON WAFER POLISHING AND POLISHING METHODFUJIMI INC
EP3261127THIN-FILM TRANSISTOR   AND MANUFACTURING METHOD THEREFOR, ARRAY SUBSTRATE AND DISPLAY DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3262688FIELD EFFECT   TRANSISTOR WITH ELEVATED ACTIVE REGIONS AND METHODS OF MANUFACTURING THE SAMESANDISK TECHNOLOGIES   LLC
EP3262689METHOD OF FORMING   MEMORY CELL WITH HIGH-K CHARGE TRAPPING LAYERSANDISK TECHNOLOGIES   LLC
EP3264451TRANSFER CHAMBERSINFONIA TECHNOLOGY   CO LTD
EP3265534POLISHING COMPOSITION   CONTAINING CERIA ABRASIVECABOT   MICROELECTRONICS CORP
EP3268981QUANTUM DOTS WITH   MULTIPLE INSULATOR COATINGSPACIFIC LIGHT TECH   CORP
EP3268985SELECTIVE   METALLIZATION OF AN INTEGRATED CIRCUIT (IC) SUBSTRATEINTEL CORP
EP3268987APPARATUS AND METHOD   FOR CHUCKING WARPED WAFERSKLA TENCOR CORP
EP3270408THIN FILM TRANSISTOR,   THIN FILM TRANSISTOR MANUFACTURING METHOD, AND IMAGE DISPLAY DEVICE USING   THIN FILM TRANSISTORTOPPAN PRINTING CO   LTD
EP3270415DISPLAY BACKPLATE AND   MANUFACTURING METHOD THEREFOR, AND DISPLAY DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3276046PLATING SOLUTION   USING AMMONIUM SALTMITSUBISHI MATERIALS   CORP
EP3276671SEMICONDUCTOR DEVICEROHM CO LTD
EP3276689MANUFACTURING METHOD   OF NIOBIC ACID-BASED FERROELECTRIC THIN-FILM ELEMENTSUMITOMO CHEMICAL CO
EP3277698SI-CONTAINING FILM   FORMING COMPOSITIONS AND METHODS OF USING THE SAMEL'AIR LIQUIDE SOCIéTé   ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE
EP3279924SEMICONDUCTOR DEVICE   MANUFACTURING METHODHAMAMATSU PHOTONICS   KK
EP3279926SEMICONDUCTOR DEVICEHAMAMATSU PHOTONICS   KK
EP3281219APPARATUS, METHOD AND   COMPUTER PROGRAM PRODUCT FOR INSPECTION OF AT LEAST SIDE FACES OF   SEMICONDUCTOR DEVICESKLA - TENCOR CORP
EP3281231SEMICONDUCTOR DEVICES   WITH SUPERLATTICE AND PUNCH-THROUGH STOP (PTS) LAYERS AT DIFFERENT DEPTHS AND   RELATED METHODSATOMERA INC
EP3282474METHOD FOR PERFORMING   A WET TREATMENT OF A SUBSTRATEIMEC VZW
EP3282475SUBSTRATE HOLDING   METHOD, SUBSTRATE HOLDING DEVICE, PROCESSING METHOD AND PROCESSING DEVICETOKYO ELECTRON LTD
EP3285284METHOD FOR PLASMA   ETCHING A WORKPIECESPTS TECHNOLOGIES LTD
EP3288062TRANSISTOR DISPLAY   PANEL AND MANUFACTURING METHOD THEREOFSAMSUNG DISPLAY CO   LTD
EP3288067METHOD FOR   TRANSFERRING A GROUP III-IV SEMICONDUCTOR ACTIVE LAYERIMEC VZW
EP3288074TRANSISTOR WITH   SHIELD STRUCTURE, PACKAGED DEVICE, AND METHOD OF MANUFACTURENXP USA INC
EP3288076A SEMICONDUCTOR DIE   PACKAGE AND METHOD OF PRODUCING THE PACKAGEIMEC VZW
EP3288077MICROELECTRONIC   PACKAGE HAVING A BUMPLESS LAMINATED INTERCONNECTION LAYERINTEL CORP
EP3289608MICROELECTRONIC   CONDUCTIVE ROUTES AND METHODS OF MAKING THE SAMEINTEL CORP
EP3291284CRYSTALLINE OXIDE   SEMICONDUCTOR FILM, CRYSTALLINE OXIDE SEMICONDUCTOR DEVICE, AND CRYSTALLINE   OXIDE SEMICONDUCTOR SYSTEMFLOSFIA INC
EP3291292MEMORY CELL,   SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE, AND METHOD FOR MANUFACTURING   SEMICONDUCTOR INTEGRATED CIRCUIT DEVICEFLOADIA CORP
EP3295472METHOD FOR ADHERING A   FIRST STRUCTURE AND A SECOND STRUCTURECOMMISSARIAT ENERGIE   ATOMIQUE
EP3295477SYSTEMS AND METHODS   FOR OBLIQUE INCIDENCE SCANNING WITH 2D ARRAY OF SPOTSKLA TENCOR CORP
EP3295480DIRECT BONDING   PROCESSCOMMISSARIAT ENERGIE   ATOMIQUE
EP3297921PROPULSIVE DEVICES   THAT COMPRISE SELECTIVELY REFLECTIVE EPITAXIAL SURFACESEXCALIBUR ALMAZ USA   INC
EP3298622METHODS AND APPARATUS   FOR CLEANING SEMICONDUCTOR WAFERSACM RES SHANGHAI INC
EP3300840HANDLING DEVICE FOR   SUBSTRATES, ESPECIALLY SEMICONDUCTOR SUBSTRATESASYS AUTOMATIC   SYSTEMS GMBH & CO KG
EP3301713SEMICONDUCTOR DEVICE   INCLUDING A LDMOS TRANSISTOR, MONOLITHIC MICROWAVE INTEGRATED CIRCUIT AND   METHODINFINEON TECHNOLOGIES   AG
EP3306354ARTICLE COMPRISING A   NANOLAMINATE COATINGCORP DE LECOLE   POLYTECHNIQUE DE MONTREAL
EP3306648FILM TRANSISTOR AND   MANUFACTURING METHOD THEREFOR, ARRAY SUBSTRATE AND MANUFACTURING METHOD   THEREFOR, AND DISPLAY APPARATUSBOE TECHNOLOGY GROUP   CO LTD
EP3306674SOLAR CELL ELEMENT   AND METHOD FOR MANUFACTURING SAMEKYOCERA CORP
EP3311049LOW HYSTERESIS   DIAPHRAGM FOR A VALVEVISTADELTEK LLC
EP3312872CONTENT MOVING DEVICESINFONIA TECHNOLOGY   CO LTD
EP3312873DEVICE FOR   TRANSFERRING SUBSTRATESASYS AUTOMATIC   SYSTEMS GMBH & CO KG
EP3312882A METHOD OF   PATTERNING A TARGET LAYERIMEC VZW
EP3314646METHOD OF   SURFACE-MOUNTING COMPONENTSDST INNOVATIONS LTD
EP3316283THROUGH SUBSTRATE VIA   (TSV) AND METHOD THEREFORNXP USA INC
EP3316289METHOD TO IMPROVE THE   HIGH K QUALITYSEMICONDUCTOR MFG INT   CORP SHANGHAI
EP3316306ARRAY-TYPE   DOUBLE-SIDED LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF, AND   DOUBLE-SIDED DISPLAY DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3319117HOLDING DEVICE FOR   SEMICONDUCTOR WAFERSCOMMISSARIAT ENERGIE   ATOMIQUE
EP3321955WAFER DE-BONDING   DEVICEZHEJIANG MICROTECH   MAT CO LTD
EP3324426TRANSPORT ROLLERATOTECH DEUTSCHLAND   GMBH
EP3324435METHOD FOR PRODUCING   VIAS ON A FLEXIBLE SUBSTRATECOMMISSARIAT ENERGIE   ATOMIQUE
EP3326206TRANSISTOR WITH   AIRGAP SPACERINTEL CORP
EP3327168METHOD FOR   MANUFACTURING SURFACE-MODIFIED MEMBERTOCALO CO LTD
EP3327769APPARATUS AND   MANUFACTURING METHODHUAWEI TECH CO LTD
EP3327774DEVICE WITH A   CONDUCTIVE FEATURE FORMED OVER A CAVITY AND METHOD THEREFORNXP USA INC
EP3327788SEMICONDUCTOR   STRUCTURE, STATIC RANDOM ACCESS MEMORY, AND FABRICATION METHOD THEREOFSEMICONDUCTOR MFG INT   CORP SHANGHAI
EP3331000METHOD OF SMOOTHING A   SURFACESPTS TECHNOLOGIES LTD
EP3331002CONVEYANCE APPARATUSSENJU METAL INDUSTRY   CO
EP3331025SEMICONDUCTOR DEVICE,   RC-IGBT, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICERENESAS ELECTRONICS   CORP
EP3333558FLEXIBLE TACTILE   SENSOR AND MANUFACTURING METHOD THEREFORKOREA ELECTRONICS   TECHNOLOGY
EP3333880SEMICONDUCTOR   STRUCTURE WITH GROUP III-V SEMICONDUCTOR LAYER COMPRISING A CRYSTAL STRUCTURE   WITH HEXAGONAL MESHCOMMISSARIAT ENERGIE   ATOMIQUE
EP3336018CONVEYANCE SYSTEMMURATA MACHINERY LTD
EP3336024ELECTRONIC COMPONENT   MOVING DEVICE AND ELECTRONIC COMPONENT CONVEYING DEVICEUENO SEIKI CO LTD
EP3336882APPARATUS, SYSTEM AND   METHOD FOR PROVIDING A CONFORMABLE VACUUM CUP FOR END EFFECTORJABIL INC
EP3336897THIN FILM TRANSISTOR   AND MANUFACTURING METHOD THEREOF, ARRAY SUBSTRATE AND DISPLAY DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3340309THIN FILM TRANSISTOR   AND MANUFACTURING METHOD THEREOFKUNSHAN NEW FLAT   PANEL DISPLAY TECHNOLOGY CT CO LTD
EP3341961SUBSTRATE TREATMENT   DEVICEMEYER BURGER GERMANY   AG
EP3345214METHOD AND STATION   FOR MEASURING THE CONTAMINATION OF A TRANSPORT BOX FOR THE CONVEYING AND   ATMOSPHERIC STORAGE OF SUBSTRATESPFEIFFER VACUUM
EP3345215SEMICONDUCTOR   APPARATUS AND METHOD OF MANUFACTURING THE SAMEHONG KONG BEIDA JADE   BIRD DISPLAY LTD
EP3345216III NITRIDE   COMPLEMENTARY TRANSISTORSHRL LAB LLC
EP3346489SEMICONDUCTOR DEVICE,   VEHICLE-MOUNTED SEMICONDUCTOR DEVICE, AND VEHICLE-MOUNTED CONTROL DEVICEHITACHI AUTOMOTIVE   SYSTEMS LTD
EP3346493WAFER LEVEL PACKAGE   WITH INTEGRATED ANTENNAS AND SHIELDING MEANSFRAUNHOFER GES   FORSCHUNG
EP3349237METHOD FOR   MANUFACTURING SiC COMPOSITE SUBSTRATE, AND METHOD FOR MANUFACTURING   SEMICONDUCTOR SUBSTRATESHINETSU CHEMICAL CO
EP3349242ARRAY SUBSTRATE AND   MANUFACTURING METHOD THEREFOR, AND DISPLAY APPARATUSBOE TECHNOLOGY GROUP   CO LTD
EP3352198SiC COMPOSITE   SUBSTRATE AND METHOD FOR MANUFACTURING SAMESHINETSU CHEMICAL CO
EP3352199III-N BASED SUBSTRATE   FOR POWER ELECTRONIC DEVICES AND METHOD FOR MANUFACTURING SAMEIMEC VZW
EP3352203SWITCHING ELEMENT AND   METHOD OF MANUFACTURING SWITCHING ELEMENTTOYOTA MOTOR CO LTD
EP3352205HIGH PRODUCTIVITY   DEPOSITION SYSTEMLAM RES CORP
EP3358610BONDING JUNCTION   STRUCTUREMITSUI MINING &   SMELTING CO
EP3363044SUBSTRATE CARRIER   SYSTEMAPPLIED MATERIALS INC
EP3364446METHODS FOR   CONTROLLING THE CLAMPING OF AN INSULATOR-TYPE SUBSTRATE ON AN   ELECTROSTATIC-TYPE SUBSTRATE SUPPORT STRUCTURELAM RES CORP
EP3365913COMPOSITE DEVICE WITH   CYLINDRICAL ANISOTROPIC THERMAL CONDUCTIVITYWATLOW ELECTRIC MFG
EP3366807COMPOUND   SEMICONDUCTOR SUBSTRATE PROVIDED WITH SIC LAYERAIR WATER INC
EP3366817BASE SUBSTRATE,   METHOD FOR MANUFACTURING BASE SUBSTRATE, AND METHOD FOR MANUFACTURING GROUP   13 NITRIDE CRYSTALNGK INSULATORS LTD
EP3367427SEPARATORACHILLES CORP
EP3369108BIASABLE FLUX   OPTIMIZER/COLLIMATOR FOR PVD SPUTTER CHAMBERAPPLIED MATERIALS INC
EP3369109BIASABLE ROTATABLE   ELECTROSTATIC CHUCKAPPLIED MATERIALS INC
EP3370253SEMICONDUCTOR WAFER   DICING CRACK PREVENTION USING CHIP PERIPHERAL TRENCHESINFINEON TECHNOLOGIES   AUSTRIA AG
EP3370477HEATER UNITNHK SPRING CO LTD
EP3371812INTEGRATION OF METAL   FLOATING GATE IN NON-VOLATILE MEMORYSILICON STORAGE TECH   INC
EP3373337CARBON NANOTUBE   SEMICONDUCTOR DEVICE AND PREPARATION METHOD THEREFORBOE TECHNOLOGY GROUP   CO LTD
EP3374132DEVICE FOR REMOVING   COMPONENTS FROM OTHER COMPONENTSHARTUNG ROMAN
EP3376524A METHOD FOR DIRECT   BONDING OF SEMICONDUCTOR SUBSTRATESIMEC VZW
EP3378094MANUFACTURING METHOD   OF SMOOTHING A SEMICONDUCTOR SURFACE
EP3378096THIN OPTOELECTRONIC   MODULES WITH APERTURES AND THEIR MANUFACTUREHEPTAGON MICRO OPTICS   PTE LTD
EP3379579SEMICONDUCTOR DEVICE   AND METHOD OF MANUFACTURING THE SAMEFUJITSU LTD
EP3381036THREE-DIMENSIONAL   VERTICAL NOR FLASH THIN FILM TRANSISTOR STRINGSSUNRISE MEMORY CORP
EP3382741SEMICONDUCTOR   PROCESSING DEVICE AND METHODHUAYING RES CO LTD
EP3384073METHOD FOR PRODUCING   A SEMICONDUCTOR WAFER HAVING AN EPITAXIAL LAYER IN A DEPOSITION CHAMBER,   APPARATUS FOR PRODUCING A SEMICONDUCTOR WAFER HAVING AN EPITAXIAL LAYER, AND   SEMICONDUCTOR WAFER HAVING AN EPITAXIAL LAYERSILTRONIC AG
EP3385980WAFER CARRIER AND   METHODINFINEON TECHNOLOGIES   AMERICAS CORP
EP3392371A TOOL FOR FIXING   SUBSTRATES IN A PVD PROCESSVESTEL ELEKTRONIK   SANAYI VE TICARET A S
EP3394882ELECTRONIC CIRCUIT   COMPRISING ELECTRICALLY INSULATING TRENCHESALEDIA
EP3396707APPARATUS AND METHOD   FOR CLEANING A BACK SURFACE OF A SUBSTRATEEBARA CORP
EP3396718POWER MOS DEVICE   HAVING AN INTEGRATED CURRENT SENSOR AND MANUFACTURING PROCESS THEREOFST MICROELECTRONICS   SRL
EP3399543LASER ANNEALING   DEVICE AND ANNEALING METHOD THEREFORSHANGHAI MICRO   ELECTRONICS EQUIPMENT GROUP CO LTD
EP3399545SUBSTRATE TREATMENT   SYSTEMMEYER BURGER GERMANY   AG
EP3401949GAS SUPPLY DEVICETOSHIBA MITSUBISHI   ELEC IND
EP3401950METHOD OF   MANUFACTURING A POWER SEMICONDUCTOR MODULEINFINEON TECHNOLOGIES   AG
EP3403276SYSTEMS AND METHODS   FOR EFFICIENT TRANSFER OF SEMICONDUCTOR ELEMENTSINVENSAS BONDING TECH   INC
EP3403284SEMICONDUCTOR DEVICES   COMPRISING A PINNED PHOTODIODE STRUCTUREHEPTAGON MICRO OPTICS   PTE LTD
EP3404722METHOD OF MAKING A   SEMICONDUCTOR SWITCH DEVICENXP BV
EP3404723PRODUCTION OF A MOS   TRANSISTOR USING A TWO-DIMENSIONAL SEMICONDUCTOR MATERIALCOMMISSARIAT ENERGIE   ATOMIQUE
EP3410213FILM MASK, METHOD FOR   MANUFACTURING SAME, AND METHOD FOR FORMING PATTERN USING FILM MASKLG CHEMICAL LTD
EP3410473APPARATUS AND METHOD   FOR DIVIDING SUBSTRATESINFINEON TECHNOLOGIES   AG
EP3411752DISPLAY SUBSTRATE,   FABRICATING METHOD THEREOF, AND DISPLAY APPARATUSBOE TECHNOLOGY GROUP   CO LTD
EP3411903MOLDED MODULE, METHOD   FOR PRODUCING A MOLDED MODULE, AND MOLDING TOOL FOR THE OVERMOLDING OF A   MOLDED MODULEBOSCH GMBH ROBERT
EP3413340METHOD FOR INSPECTING   A CONTAINER AND INSPECTION SYSTEMBROOKS AUTOMATION   GERMANY GMBH
EP3414368TERAHERTZ ANTENNA AND   METHOD FOR PRODUCING A TERAHERTZ ANTENNAFRAUNHOFER GES   FORSCHUNG
EP3416183SUBSTRATE TREATMENT   METHOD FOR SEMICONDUCTOR DEVICE FABRICATIONNXP USA INC
EP3416185HOLDING PLATE,   DETECTION METHOD, AND DIE SUPPLY DEVICEFUJI CORP
EP3420577THREE DIMENSIONAL   MEMORY DEVICE CONTAINING MULTILAYER WORDLINE BARRIER FILMS AND METHOD OF   MAKING THEREOFSANDISK TECHNOLOGIES   LLC
EP3420581ACCURACY IMPROVEMENTS   IN OPTICAL METROLOGYKLA TENCOR CORP
EP3420583CARRIER FOR A   SEMICONDUCTOR STRUCTURESOITEC SILICON ON   INSULATOR
EP3421150CAULKING JIG AND   MANUFACTURING METHOD USING SAMENHK SPRING CO LTD
EP3422392ETCHING SOLUTION FOR   SELECTIVELY REMOVING SILICON NITRIDE DURING MANUFACTURE OF A SEMICONDUCTOR   DEVICEVERSUM MAT US LLC
EP3422394APPARATUS AND METHOD   FOR PROCESSING A SEMICONDUCTOR SUBSTRATEINFINEON TECHNOLOGIES   AG
EP3422396DEVICE FOR TRANSPORT   OF A SUBSTRATE, TREATMENT DEVICE WITH A HOLDER PLATE ADAPTED TO A SUBSTRATE   HOLDER OF SUCH A DEVICE AND A METHOD FOR PROCESSING A SUBSTRATE USING SUCH A   DEVICE FOR TRANSPORTING A SUBSTRATE AND TREATMENT PLANTMEYER BURGER GERMANY   GMBH
EP3424072METHOD FOR PRODUCING   A SEMICONDUCTOR COMPONENT AND SEMICONDUCTOR COMPONENTFRAUNHOFER GES   FORSCHUNG
EP3424074METHOD AND SYSTEM FOR   SPIN-COATING MULTI-LAYER THIN FILMS HAVING LIQUID CONSERVATION FEATURESCARBON INC
EP3424075HANDLING SYSTEM WITH   INDEPENDENT AND COORDINATED SHUTTLE, FOR INDUSTRIAL AUTOMATIONVISMUNDA S R L
EP3425315SYSTEMS AND METHODS   FOR SOLVENT EXTRACTIONNORDSON CORP
EP3427288CORRECTION OF   FABRICATED SHAPES IN ADDITIVE MANUFACTURINGAPPLIED MATERIALS INC
EP3427290A/M/X MATERIAL   PRODUCTION PROCESS WITH ALKYLAMINEUNIV OXFORD   INNOVATION LTD
EP3427293SEMICONDUCTOR ON   INSULATOR STRUCTURE COMPRISING A LOW TEMPERATURE FLOWABLE OXIDE LAYER AND   METHOD OF MANUFACTURE THEREOF
EP3428954METHOD FOR   ESTABLISHING A CONNECTION BETWEEN TWO JOINING PARTNERSINFINEON TECHNOLOGIES   AG
EP3428957METHOD FOR PRODUCING   SEMICONDUCTOR DEVICEHUAWEI TECH CO LTD
EP3432351CONTACTLESS ELECTRIC   POWER SUPPLY MECHANISM AND METHOD FOR ROTARY TABLE, AND WAFER ROTATING AND   HOLDING DEVICEMIMASU SEMICONDUCTOR   IND CO LTD
EP3432375ORGANIC SEMICONDUCTOR   COMPOSITION, METHOD FOR MANUFACTURING ORGANIC THIN FILM TRANSISTOR, AND   ORGANIC THIN FILM TRANSISTORFUJI FILM CORP
EP3435406APPARATUS FOR   PREPARING HETEROSTRUCTURES WITH REDUCED STRAIN BY RADIAL COMPRESSION
EP3435410WAFER ENCAPSULATION   STRUCTURE AND ENCAPSULATION METHODSHENZHEN GOODIX TECH   CO LTD
EP3435411ELECTRONIC CHIPST MICROELECTRONICS   CROLLES 2 SAS
EP3435415SEMICONDUCTOR DEVICE,   SOLID IMAGING ELEMENT, IMAGING DEVICE, AND ELECTRONIC APPARATUSSONY CORP
EP3437122NANOWIRE FOR   TRANSISTOR INTEGRATIONINTEL CORP
EP3439045FAST RECOVERY INVERSE   DIODEIXYS LLC
EP3440693FORMATION OF A LAYER   ON A SEMICONDUCTOR SUBSTRATEAIXTRON SE
EP3440696INTEGRATED RESISTOR   FOR SEMICONDUCTOR DEVICEPOWER INTEGRATIONS   INC
EP3442013SEMICONDUCTOR DEVICE   MANUFACTURING METHODMITSUI CHEMICALS   TOHCELLO INC
EP3442018SIDE-SOLDERABLE   LEADLESS PACKAGESEMTECH CORP
EP3442030SPIN CURRENT   MAGNETIZATION ROTATING ELEMENT, MAGNETORESISTIVE EFFECT ELEMENT AND MAGNETIC   MEMORYTDK CORP
EP3443584BATCH MANUFACTURE OF   COMPONENT CARRIERSAUSTRIA TECH &   SYSTEM TECH
EP3443586ALIGNMENT OF MULTIPLE   IMAGE DICE IN PACKAGETELEDYNE DALSA INC
EP3443597HIGH-VOLTAGE LATERAL   GAN-ON-SILICON SCHOTTKY DIODEMACOM TECH SOLUTIONS   HOLDINGS INC
EP3444842ARRAY SUBSTRATE,   MANUFACTURING METHOD THEREFOR, SENSOR, AND DETECTION DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3447789METHOD FOR THE   PERMANENT BONDING OF WAFERSEV GROUP E THALLNER   GMBH
EP3447793SEED LAYERS FOR   COPPER INTERCONNECTSAPPLIED MATERIALS INC
EP3448948USE OF A CHEMICAL   MECHANICAL POLISHING (CMP) COMPOSITION FOR POLISHING OF COBALT AND / OR   COBALT ALLOY COMPRISING SUBSTRATESBASF SE
EP3449501REDUCED SIZE SPLIT   GATE NON-VOLATILE FLASH MEMORY CELL AND METHOD OF MAKING SAMESILICON STORAGE TECH   INC
EP3451368PRESSURIZING DEVICE   AND PRESSURIZING METHODNIKKISO CO LTD
EP3454361METHOD FOR   MANUFACTURING ANNULAR MEMBER AND ANNULAR MEMBERTHINKON NEW TECH   JAPAN CORPORATION
EP3454365NON-PLANAR I/O AND   LOGIC SEMICONDUCTOR DEVICES HAVING DIFFERENT WORKFUNCTION ON COMMON SUBSTRATEINTEL CORP
EP3457432FILM-FORMING DEVICETOKYO ELECTRON LTD
EP3459109INTEGRATED CIRCUIT   PACKAGE AND METHOD OF MANUFACTURING THE SAMESENCIO B V
EP3459117METHOD OF FORMING A   P-TYPE LAYER FOR A LIGHT EMITTING DEVICELUMILEDS LLC
EP3462486DOUBLE-SIDED MODULE   WITH ELECTROMAGNETIC SHIELDINGQORVO US INC
EP3465748METHOD FOR PROCESSING   AN ELECTRICALLY INSULATING MATERIAL PROVIDING SAME WITH SELF-ADJUSTING   ELECTRIC FIELD GRADING PROPERTIES FOR ELECTRICAL COMPONENTSUNIV TOULOUSE 3 PAUL   SABATIER
EP3465768SUPERJUNCTION POWER   SILICON CARBIDE SEMICONDUCTOR DEVICES FORMED VIA ION IMPLANTATION CHANNELING   TECHNIQUES AND RELATED METHODSCREE INC
EP3467867METHOD FOR   MANUFACTURING AN ELECTRONIC COMPONENT HAVING A HETEROJUNCTION EQUIPPED WITH A   BURIED BARRIER LAYERCOMMISSARIAT ENERGIE   ATOMIQUE
EP3467868SUPPORT APPARATUS AND   SUPPORT METHODBOE TECHNOLOGY GROUP   CO LTD
EP3474315COMPOUND FILM OF   TUNGSTEN AND GERMANIUM, AND SEMICONDUCTOR DEVICEAIST
EP3474316SEMICONDUCTOR DEVICEMITSUBISHI ELECTRIC   CORP
EP3475973VIA BLOCKING LAYERINTEL CORP
EP3475975POLYCRYSTALLINE   CERAMIC SUBSTRATE AND METHOD OF MANUFACTUREQROMIS INC
EP3477696ELEMENT SUBMOUNT AND   METHOD FOR MANUFACTURING THE SAMEXSENSE TECH   CORPORATION
EP3479397DIELECTRIC   HELMET-BASED APPROACHES FOR BACK END OF LINE (BEOL) INTERCONNECT FABRICATION   AND STRUCTURES RESULTING THEREFROMINTEL CORP
EP3486639METHOD AND DEVICE FOR   VISUAL REPRESENTATION OF ELECTRONIC SEMICONDUCTOR DEVICESFORMFACTOR BEAVERTON   INC
EP3488464HEATING MODULATORS TO   IMPROVE EPI UNIFORMITY TUNINGAPPLIED MATERIALS INC
EP3489990SEMICONDUCTOR   SUBSTRATESICOXS CORP
EP3489992SUBSTRATE SUPPORT   DEVICE, DISPLAY PANEL MANUFACTURING APPARATUS AND SUBSTRATE SUPPORT METHODBOE TECHNOLOGY GROUP   CO LTD
EP3491466SUBSTRATE LOADING IN   MICROLITHOGRAPHYMOLECULAR IMPRINTS   INC
EP3491670ARRAY SUBSTRATE,   MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICEBOE TECHNOLOGY GROUP   CO LTD
EP3494351THERMAL PROCESS   DEVICE WITH NON-UNIFORM INSULATIONSANDVIK THERMAL   PROCESS INC
EP3496134RING FOR ELECTRODETHINKON NEW TECH   JAPAN CORPORATION
EP3496152WIDE BANDGAP HIGH   ELECTRON MOBILITY TRANSISTOR (HEMT)CREE INC
EP3496153MANUFACTURING METHOD   OF A SEMICONDUCTOR DEVICE WITH EFFICIENT EDGE STRUCTUREST MICROELECTRONICS   SRL
EP3497723METHOD OF FORMING LOW   HEIGHT SPLIT GATE MEMORY CELLSSILICON STORAGE TECH   INC
EP3497728SEMICONDUCTOR DEVICE   INCLUDING A RESONANT TUNNELING DIODE STRUCTURE WITH ELECTRON MEAN FREE PATH   CONTROL LAYERS COMPRISING A SUPERLATTICE AND ASSOCIATED METHODSATOMERA INC
EP3499550VDMOS DEVICE AND   MANUFACTURING METHOD THEREFORCSMC TECHNOLOGIES   FAB2 CO LTD
EP3499556SEMICONDUCTOR   MANUFACTURING APPARATUSKONDOH IND LTD
EP3499560SEMICONDUCTOR MODULE   AND METHOD FOR PRODUCING THE SAMEINFINEON TECHNOLOGIES   AG
EP3501038HETEROSTRUCTURE   SYSTEM AND METHOD OF FABRICATING THE SAMEUNIV RAMOT
EP3503165METHOD FOR FORMING A   CHEMICAL GUIDING STRUCTURE ON A SUBSTRATE AND METHOD FOR CHEMO-EPITAXYCOMMISSARIAT ENERGIE   ATOMIQUE
EP3506339MICRO DEVICE TRANSFER   HEAD AND RELATED METHOD
EP3506348THIN FILM PASSIVE   DEVICES INTEGRATED IN A PACKAGE SUBSTRATEINTEL CORP
EP3506353DISPLAYING APPARATUS   HAVING LIGHT EMITTING DEVICESEOUL SEMICONDUCTOR   CO LTD
EP3509094MICRO DEVICE TRANSFER   EQUIPMENT AND RELATED METHOD
EP3511977SEMICONDUCTOR MODULE   AND METHOD FOR PRODUCING THE SAMEINFINEON TECHNOLOGIES   AG
EP3511988SEMICONDUCTOR   ELECTRONIC DEVICE WITH TRENCH GATE AND MANUFACTURING METHOD THEREOFST MICROELECTRONICS   SRL
EP3511993TUNNEL   MAGNETORESISTIVE EFFECT ELEMENT, MAGNETIC MEMORY, AND BUILT-IN MEMORYTDK CORP
EP3516684METHODS AND APPARATUS   FOR CLEANING SUBSTRATESACM RES SHANGHAI INC
EP3523826FRONT-SIDE TYPE IMAGE   SENSOR AND METHOD FOR MANUFACTURING SUCH A SENSORSOITEC SILICON ON   INSULATOR
EP3529831COAXIAL CONNECTOR   FEED-THROUGH FOR MULTI-LEVEL INTERCONNECTED SEMICONDUCTOR WAFERSRAYTHEON CO
EP3529851HEAT DISSIPATING   STRUCTURE AND BATTERY PROVIDED WITH THE SAMESHINETSU POLYMER CO
EP3533081HIGH RESISTIVITY   SILICON-ON-INSULATOR SUBSTRATE HAVING ENHANCED CHARGE TRAPPING EFFICIENCY
EP3533088METHODS FOR GROWING   LIGHT EMITTING DEVICES UNDER ULTRA-VIOLET ILLUMINATIONLUMILEDS LLC
EP3544392ELECTRONIC DEVICE AND   METHOD FOR PRODUCING SAMEOMRON TATEISI   ELECTRONICS CO
EP3545547INTEGRATED CIRCUIT   NANOPARTICLE THERMAL ROUTING STRUCTURE OVER INTERCONNECT REGIONTEXAS INSTRUMENTS INC
EP3545550MANUFACTURING OF A   POWER SEMICONDUCTOR MODULEABB SCHWEIZ AG
EP3548971OVERLAY CONTROL WITH   NON-ZERO OFFSET PREDICTIONKLA TENCOR CORP
EP3549156RFID PART   AUTHENTICATION AND TRACKING OF PROCESSING COMPONENTSAPPLIED MATERIALS INC
EP3550594ACTIVE GAS GENERATION   DEVICETOSHIBA MITSUBISHI   ELEC IND
EP3550597PRESSURIZED HEATED   ROLLING PRESS FOR MANUFACTURE OF PHOTOVOLTAIC CELLSALTA DEVICES INC
EP3550598ALIGNMENT MECHANISM,   CHUCK DEVICE, AND BONDING DEVICETAZMO CO LTD
EP3552226METHOD FOR   TRANSFERRING THIN FILMSCOMMISSARIAT ENERGIE   ATOMIQUE
EP3555558METHOD AND INSTRUMENT   FOR MEASURING ETCH DEPTH BY DIFFERENTIAL POLARIMETRIC INTERFEROMETRY AND GLOW   DISCHARGE SPECTROMETRY APPARATUS COMPRISING SUCH A MEASURING INSTRUMENTHORIBA FRANCE SAS
EP3557968METHOD AND APPARATUS   FOR AUTOMATICALLY ADJUSTING DISPENSING UNITS OF A DISPENSERILLINOIS TOOL WORKS
EP3559746COMPOSITION OF   SPIN-ON MATERIALS CONTAINING METAL OXIDE NANOPARTICLES AND AN ORGANIC POLYMERRIDGEFIELD   ACQUISITION
EP3561862METHOD FOR TEMPORARY   BONDING WORKPIECE AND ADHESIVE
EP3563409SINTERING PRESS AND   METHOD FOR SINTERING ELECTRONIC COMPONENTS ON A SUBSTRATEAMX AUTOMATRIX S R L
EP3563411PROCESSING STACKED   SUBSTRATESINVENSAS BONDING TECH   INC
EP3565008WATER FILM SOLUTION   FOR ETCHING SILICON WAFER OF SOLAR CELL AND USE THEREOFEGING PHOTOVOLTAIC   TECH CO LTD
EP3566245BOTTOM AND MIDDLE   EDGE RINGSLAM RES CORP
EP3567626MIRROR DIE IMAGE   RECOGNITION SYSTEMFUJI CORP
EP3568869SUBSTRATE FOR A   FRONT-SIDE-TYPE IMAGE SENSOR AND METHOD FOR PRODUCING SUCH A SUBSTRATESOITEC SILICON ON   INSULATOR
EP3570422CHARGE PUMP CIRCUIT   ARRANGEMENTAMS AG
EP3571713CLEAVE SYSTEMS,   MOUNTABLE CLEAVE MONITORING SYSTEMS, AND METHODS FOR SEPARATING BONDED WAFER   STRUCTURES
EP3571716PROCESS FOR   MANUFACTURING A POWER ELECTRONIC MODULE BY ADDITIVE MANUFACTURING, ASSOCIATED   MODULE AND SUBSTRATESAFRAN
EP3573092SYSTEM FOR NON RADIAL   TEMPERATURE CONTROL FOR ROTATING SUBSTRATESAPPLIED MATERIALS INC
EP3576149MEMORY CELL WITH   INDEPENDENTLY-SIZED ELEMENTSMICRON TECHNOLOGY INC
EP3580776METHODS FOR ASSESSING   SEMICONDUCTOR STRUCTURES
EP3580777MOVEABLE EDGE RING   DESIGNSLAM RES CORP
EP3581521STOCKERMURATA MACHINERY LTD
EP3582255STOCKERMURATA MACHINERY LTD
EP3582256CMOS BASED DEVICES   FOR HARSH MEDIAMELEXIS TECHNOLOGIES   NV
EP3582267UV RADIATION SENSOR   BASED ON DIAMONDFRAUNHOFER GES   FORSCHUNG
EP3582376CONVEYOR DEVICE WITH   SAFETY FEATUREBOSCH GMBH ROBERT
EP3584833ELECTRICAL POWER   ASSEMBLY AND POWER MODULE WITH IMPROVED ALIGNMENTMITSUBISHI ELECTRIC   R&D CT EUROPE BV
EP3584836LAYOUT DESIGN FOR   FANOUT PATTERNS IN SELF-ALIGNED DOUBLE PATTERNING PROCESS
EP3588561DYNAMIC SUBSTRATE   BIASING FOR EXTENDED VOLTAGE OPERATIONNXP USA INC
EP3594377MASK ASSEMBLY,   DEPOSITION APPARATUS HAVING THE SAME, AND METHOD OF FABRICATING DISPLAY   DEVICE USING THE SAMESAMSUNG DISPLAY CO   LTD
EP3594748OPTIMAL EXPOSURE OF A   BOTTOM SURFACE OF A SUBSTRATE MATERIAL AND/OR EDGES THEREOF FOR CLEANING IN A   SPIN COATING DEVICEC&D SEMICONDUCTOR   SERVICES INC
EP3594995WAFER FEED DEVICEFUJI CORP
EP3594996COMPONENT MOUNTING   MACHINEFUJI CORP
EP3594997METHOD FOR   MANUFACTURING AT LEAST ONE SEMICONDUCTOR STRUCTURE COMPRISING A STEP OF   SEPARATION FROM THE GROWTH SUBSTRATECOMMISSARIAT ENERGIE   ATOMIQUE
EP3598487METHOD FOR   INTEGRATING STRUCTURES IN A SUPPORT AND ASSOCIATED DEVICECOMMISSARIAT ENERGIE   ATOMIQUE
EP3600894PRINTING APPARATUSEKRA   AUTOMATISIERUNGSSYSTEME GMBH
EP3602616ASSEMBLY OF A CARRIER   AND A PLURALITY OF ELECTRICAL CIRCUITS FIXED THERETO, AND METHOD OF MAKING   THE SAMECARDLAB APS
EP3602617SEMICONDUCTOR ON   INSULATOR TYPE STRUCTURE, NOTABLY FOR A FRONT SIDE TYPE IMAGER, AND METHOD OF   MANUFACTURING SUCH A STRUCTURESOITEC SILICON ON   INSULATOR
EP3603356CONTACT ARRANGEMENTBOSCH GMBH ROBERT
EP3608949IN-LINE PROTECTION   FROM PROCESS INDUCED DIELECTRIC DAMAGENXP BV
EP3610500COMPONENT RECEIVING   DEVICE WITH OPTICAL SENSORMUEHLBAUER GMBH &   CO KG
EP3611758WAFER-RETAINING   ELASTIC FILM OF CMP DEVICEMITSUBISHI CABLE IND   LTD
EP3612661PROFILED SPUTTERING   TARGET AND METHOD OF MAKING THE SAMEHONEYWELL INT INC
EP3613748METHOD FOR THE   PREPARATION OF TRIALKYL GALLIUM COMPOUNDS AND THEIR USEUMICORE AG & CO   KG
EP3614421DEVICE AND METHOD FOR   DETECTING BENDING AND WARPING OF SILICON WAFER OF SOLAR CELLEGING PHOTOVOLTAIC   TECH CO LTD
EP3618100SEMICONDUCTOR DEVICEMITSUBISHI ELECTRIC   CORP
EP3618102METHOD FOR PRODUCING   THIN WAFERSHINETSU CHEMICAL CO
EP3618104SEMICONDUCTOR CHIPS   AND METHODS OF MANUFACTURING THE SAMESAMSUNG ELECTRONICS   CO LTD
EP3619737DOUBLE SPACER   IMMERSION LITHOGRAPHY TRIPLE PATTERNING FLOW AND METHODADVANCED MICRO   DEVICES INC
EP3621103COMPONENT CARRIER   WITH A PHOTOIMAGABLE DIELECTRIC LAYER AND A STRUCTURED CONDUCTIVE LAYER BEING   USED AS A MASK FOR SELECTIVELY EXPOSING THE PHOTOIMAGABLE DIELECTRIC LAYER   WITH ELECTROMAGNETIC RADIATIONAUSTRIA TECH &   SYSTEM TECH
EP3624170TRANSFER OF   TWO-DIMENSIONAL LAYERSIMEC VZW
EP3624171ETCHING METHODSHOWA DENKO KK
EP3624175METHOD FOR ELECTRONIC   DEVICE ENCAPSULATIONKATEEVA INC
EP3625819HYBRID BONDING METHOD   FOR SEMICONDUCTOR WAFERS AND RELATED THREE-DIMENSIONAL INTEGRATED DEVICELFOUNDRY SRL
EP3625820METHODS AND   APPARATUSES FOR EFFLUENT MONITORING FOR BRUSH CONDITIONINGILLINOIS TOOL WORKS
EP3625834PRECLEAN AND   DEPOSITION METHODOLOGY FOR SUPERCONDUCTOR INTERCONNECTSNORTHROP GRUMMAN   SYSTEMS CORP
EP3629372INTERCONNECT   STRUCTURE AND RELATED METHODSIMEC VZW
EP3631843METHOD FOR PRODUCING   PATTERNS IN A SUBSTRATECOMMISSARIAT ENERGIE   ATOMIQUE
EP3631854METHOD AND APPARATUS   FOR PRODUCING A PRINTED CIRCUIT BOARD SANDWICH AND PRINTED CIRCUIT BOARD   SANDWICHBOSCH GMBH ROBERT
EP3633734METHOD FOR   MANUFACTURING A PRESSURE SENSITIVE TRANSISTOR AND PRESSURE SENSITIVE FIELD   EFFECT TRANSISTORINFINEON TECHNOLOGIES   AG
EP3635777METHOD FOR MINIMIZING   DISTORTION OF A SIGNAL IN A RADIOFREQUENCY CIRCUITSOITEC SILICON ON   INSULATOR
EP3635779SILICA-CONTAINING   SUBSTRATES WITH VIAS HAVING AN AXIALLY VARIABLE SIDEWALL TAPER AND METHODS   FOR FORMING THE SAMECORNING INC
EP3635782MEMORY ARRAYSMICRON TECHNOLOGY INC
EP3637458SYSTEM FOR   TRANSPORTING OBJECTS IN CONTROLLED ATMOSPHERECOMMISSARIAT ENERGIE   ATOMIQUE
EP3637459STORING SYSTEMMURATA MACHINERY LTD
EP3639294SYSTEM AND METHOD FOR   CONTROLLING POWER TO A HEATERWATLOW ELECTRIC MFG
EP3646371CHEMICAL CLEANING   COMPOSITION FOR REMOVING AN AMORPHOUS PASSIVATION LAYER AT THE SURFACE OF   CRYSTALLINE MATERIALSTECHNIC FRANCE
EP3646374PROCESS FOR   TRANSFERRING A THIN LAYER TO A SUPPORT SUBSTRATE THAT HAVE DIFFERENT THERMAL   EXPANSION COEFFICIENTSSOITEC SILICON ON   INSULATOR
EP3648160ELECTRICALLY   PROGRAMMABLE FUSE, MANUFACTURING METHOD THEREFOR, AND STORAGE UNITSHENZHEN WEITONGBO   TECH CO LTD
EP3648553PLASMA TREATMENT   DEVICECANON ANELVA CORP
EP3648554PLASMA PROCESSING   DEVICECANON ANELVA CORP
EP3649512TREATMENT HEAD,   TREATMENT SYSTEM AND METHOD FOR TREATING A LOCAL SURFACE AREA OF A SUBSTRATESUESS MICROTEC   PHOTOMASK EQUIPMENT GMBH & CO KG
EP3651185GLUING METHOD WITH   ELECTRONICALLY STIMULATED DESORPTIONCOMMISSARIAT ENERGIE   ATOMIQUE
EP3651188A METHOD FOR   CONTACTING A BURIED INTERCONNECT RAIL FROM THE BACK SIDE OF AN ICIMEC VZW
EP3651207SEMICONDUCTOR DEVICEROHM CO LTD
EP3654372INTEGRATED ELECTRONIC   CIRCUIT WITH AIRGAPSIMEC VZW
EP3654394TRANSISTOR WITH   SILICIDE SOURCE AND DRAIN BLOCKS NEAR THE CHANNELCOMMISSARIAT ENERGIE   ATOMIQUE
EP3660622TEMPERATURE CONTROL   DEVICE AND METHODTOKYO ELECTRON LTD
EP3660890A METHOD FOR FORMING   AN INTERCONNECTION STRUCTUREIMEC VZW
EP3664128PACKAGING UNIT FOR   SUBSTRATESHERAEUS DEUTSCHLAND   GMBH & CO KG
EP3664167LIGHT EMITTING DIODESAMSUNG ELECTRONICS   CO LTD
EP3667715METHOD FOR PRODUCING   A SEMI-CONDUCTOR SUBSTRATE COMPRISING A RESTRICTED SEMICONDUCTOR REGIONCOMMISSARIAT ENERGIE   ATOMIQUE
EP3667736SEMICONDUCTOR DEVICE   AND MANUFACTURING METHOD FOR SAMEROHM CO LTD
EP3671818DEVICE FOR COLLECTING   CONTAMINANTS ON THE EDGES AND THE SECTION OF A CIRCULAR PLATECOMMISSARIAT ENERGIE   ATOMIQUE
EP3672378ELECTRIC DEVICE AND   METHOD FOR PRODUCING SAMEOMRON TATEISI   ELECTRONICS CO
EP3675167A SEMICONDUCTOR   DEVICE AND A METHOD FOR FORMING A SEMICONDUCTOR DEVICEIMEC VZW
EP3676882FABRICATING A DEVICE   USING A MULTILAYER STACKGOOGLE LLC
EP3679167ELECTROLESS NICKEL   PLATING SOLUTIONATOTECH DEUTSCHLAND   GMBH
EP3685429DEVICE FOR ALIGNING   AND OPTICALLY INSPECTING A SEMICONDUCTOR COMPONENTMUEHLBAUER GMBH &   CO KG
EP3685430ASSEMBLY FOR THE   DEPOSITION OF SILICON NANOSTRUCTURESUNIV DEGLI STUDI ROMA   LA SAPIENZA
EP3686672PROCESS OF COATING A   HARD MASK COMPOSITION FOR PATTERN TRANSFER INTO A SILICON SUBSTRATEMERCK PATENT GMBH
EP3688796FABRICATION OF   APPARATUS INCLUDING SUPERCONDUCTING WIRING LAYERS AND INTERCONNECTSMICROSOFT TECHNOLOGY   LICENSING LLC
EP3696863LATERAL TRANSISTOR   DEVICEINFINEON TECHNOLOGIES   AUSTRIA AG
EP3701563SEMICONDUCTOR WAFER   COMPOSED OF MONOCRYSTALLINE SILICONSILTRONIC AG
EP3702397METHOD FOR THE   PREPARATION OF HYDRIDOSILANE OLIGOMERSEVONIK OPERATIONS   GMBH
EP3702424SHALLOW TRENCH   ISOLATION CHEMICAL AND MECHANICAL POLISHING SLURRYVERSUM MAT US LLC
EP3704735METHOD FOR   MANUFACTURING A FILM ON A FLEXIBLE SHEETSOITEC SILICON ON   INSULATOR
EP3706160STACKED   HIGH-BLOCKING-VOLTAGE III-V POWER SEMICONDUCTOR DIODE3-5 POWER ELECTRONICS   GMBH
EP3714485METAL-CERAMIC   SUBSTRATE AND METHOD FOR PRODUCING A METAL-CERAMIC SUBSTRATEROGERS GERMANY GMBH
EP3720244TEMPERATURE CONTROL   APPARATUSSMC CORP
EP3721467METHOD FOR PREPARING   THE REMAINDER OF A DONOR SUBSTRATE, SUBSTRATE PRODUCED BY SAID METHOD, AND   USE OF SUCH A SUBSTRATESOITEC SILICON ON   INSULATOR
EP3723118APPARATUS FOR   IMMERSION-BASED PREPARATION OF PEROVSKITE THIN FILM, USE METHOD AND   APPLICATION THEREOFHANGZHOU MICROQUANTA   SEMICONDUCTOR CORPORATION LTD
EP3728109PROCESS FOR PRODUCING   SEMICONDUCTOR DEVICES AND DICING LANESCOMMISSARIAT ENERGIE   ATOMIQUE
EP3739621THROUGH SILICON VIA   FABRICATIONHONEYWELL INT INC
EP3742478SELF-ASSEMBLY METHOD   WITH HYBRID MOLECULAR BONDINGCOMMISSARIAT ENERGIE   ATOMIQUE
EP3747505ULTRA-LOW POWER   RECEIVER MODULE FOR WIRELESS COMMUNICATION BY AN IMPLANTABLE MEDICAL DEVICECAIRDAC
EP3754694INTEGRATED CIRCUIT   SAW BOW BREAK POINTNXP BV
EP3756225A VERTICAL SILICON   CARBIDE POWER MOSFET AND IGBT AND A METHOD OF MANUFACTURING THE SAMEABB POWER GRIDS   SWITZERLAND AG
EP3772089SUBSTRATE HANDLING   DEVICE FOR A WAFERSEMSYSCO GMBH
EP3787044SEPARATION METHOD FOR   SEPARATING A SEMICONDUCTOR WAFER COMPRISING A PLURALITY OF SOLAR CELL STACKSAZUR SPACE SOLAR   POWER GMBH



 

 


转载本文请联系原作者获取授权,同时请注明本文来自陈立新科学网博客。

链接地址:https://wap.sciencenet.cn/blog-681765-1360382.html?mobile=1

收藏

分享到:

当前推荐数:0
推荐到博客首页
网友评论0 条评论
确定删除指定的回复吗?
确定删除本博文吗?